状态机三always写法

三always块大多用于同步Mealy状态机,两个时序always块分别用来描述现态逻辑和对输出赋值,组合always块用于产生下一状态。这种方式的状态机也是
寄存器输出,输出无毛刺,并且代码比单always块清晰一度,但是面积大于双always块。随着芯片资源和速度的提高,目前这种方式得到了广泛应用。


//构成状态跳转环
always @ (posedge clk or negedge rst_n)
    current_state <= next_state;


//完成状态机的内部逻辑
always @ (current_state or ...) begin
    case(current_state)
    S1:next_state = S2;
    S2:next_state = S1;
    default:...
    endcase
end


//完成状态机的外部逻辑
always @ (current_state or ...) begin
    case(current_state)
    S1:
    S2:
    default:..
    endcase
end
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog状态机段式是指状态机的Verilog写法中,将状态机的功能分为个部分:状态转换逻辑、状态更新逻辑和输出逻辑。这种写法通常用于描述有限状态机的行为。状态转换逻辑决定下一个状态是什么,状态更新逻辑更新当前状态,而输出逻辑决定在每个状态下的输出信号。 引用提到了一段式、两段式和段式的状态机写法。这写法在速度、面积和代码可维护性等方面各有优劣。其中,段式状态机的特点是将状态转换、状态更新和输出逻辑分别独立实现,使得代码更加清晰和易于理解。 引用指出,在网络上搜索"段式状态机"时,大多数给出的例子都是基于next_state输出的,很少看到基于current_state输出的。这导致了一种思维定势,即认为段式状态机的第段只能基于next_state描述,实际上这是不正确的。 根据引用中的描述,Mealy型状态机是一种常见的状态机类型,其状态变化不仅取决于当前状态,还取决于当前输入条件。输出信号不仅取决于状态,还取决于输入信号。因此,在实现Mealy型状态机时,段式写法可以更好地描述状态转换、状态更新和输出逻辑。 总结起来,Verilog状态机段式是一种常见的描述有限状态机行为的写法,其中包括状态转换逻辑、状态更新逻辑和输出逻辑。这种写法能够提高代码的可读性和可维护性,并且能够灵活地适应不同类型的状态机,如Mealy型状态机。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [verilog 有限状态机的基本概念和写法介绍](https://blog.csdn.net/qq_39507748/article/details/108763514)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [数电和Verilog-时序逻辑实例四:状态机段式描述)](https://blog.csdn.net/weixin_44915807/article/details/125001040)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值