Chisel(六) 使用chisel设计

学习更多相关知识,关注博主知乎账号,用户名Trustintruth   https://www.zhihu.com/people/suo-yi-xin-90/activities

通过之前对Scala和chisel的学习,我们对Scala已经有所了解。

 

设置

下面的代码会下载Chisel所依赖的库。

In [ ]:

val path = System.getProperty("user.dir") + "/source/load-ivy.sc"
interp.load.module(ammonite.ops.Path(java.nio.file.FileSystems.getDefault().getPath(path)))

如上一章所述,下面的语句在Scala中导入Chisel:

In [2]:

import chisel3._
import chisel3.util._
import chisel3.iotesters.{ChiselFlatSpec, Driver, PeekPokeTester}
Out[2]:

import chisel3._

import chisel3.util._

import chisel3.iotesters.{ChiselFlatSpec, Driver, PeekPokeTester}

类似于我们常用的Verilog HDL,我们可以在Chisel中定义模块(module)。下面我们去制作一个模块,名字叫做Passthrough,它有一个4比特的输入,名字叫做in,还有一个4比特的输出out。这个模块的组合电路中将输入in连接到输出out,所以outin驱动。

In [3]:

// Chisel代码:定义一个模块
class Passthrough extends Module {
  val io = IO(new Bundle {
    val in = Input(UInt(4.W))
    val out = Output(UInt(4.W))
  })
  io.out := io.in
}
Out[3]:

defined class Passthrough

上面的代码包含了许多东西,以下我们会详细解释代码的每一行:

class Passthrough extends Module {
  

我们定义了一个模块,名字叫

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值