自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 资源 (3)
  • 收藏
  • 关注

原创 《电路》换路定则的理解

在电路中,当电路发生变化时:对于电容:其电容两端电压值不能跳变,这句话我一直没理解是什么意思,今天看了看课本才明白,我没搞清楚两端电压和电容的两个极板上的电势的关系。其实电容极板上的电势是可以发生突然跳变的,实际上,通常说的电容电压不能突变中的电压指的是两个电容极板之间的电势差,如果你选择其中一个极板的电势为0,则另一端的电势就等于这个电容的电势差,即电压。总结:扔一个瞬时前后,电容两端的电压

2012-03-29 16:15:56 6137

转载 利用JTAG配置EPCS芯片的方法

Cyclone_III 在使用此方法时,要注意FPGA的MSEL0~3脚,不能接地。EP2C系列可以接地,EP3C系列不可以,要相应的配置到AS模式所需的电平。听同事说可以不用AS接口,而用JTAG接口配置EPCS器件,调了几年FPGA了,却从来没有发现这个好方法,于是忙不迭的跟人家学习了一把,具体操作步骤如下:1.在QuartusII中打开工程,然后编译链接,生成JTAG

2012-03-23 15:55:40 2610

原创 EZ-USB 68013学习笔记--CYAPI的使用之批量传输方式

cyapi一些重要函数说明见:http://blog.csdn.net/lg2lh/article/details/7369793最近一直在调slavefifo,做上位机的时候总是调不过去,总是报错:说内存不能为写。或者乱起八糟的错误。我总结了一下应该注意一下几点:1、cyapi.lib这个库的位置放在哪,在工程中添加的lib文件路径要和Tools->Option->directories

2012-03-22 11:35:58 9322 1

转载 68013API函数说明

写数据的步骤:1、打开设备:USBDevice->Open(DeviceIndex);2、获得USB批量传输的断点:OutEndpt = USBDevice->EndPoints;3、确定设备打开则创建线程函数:AfxBeginThread(XferLoopw, this);4、打开事件对象:outOvLap.hEvent = CreateEvent(NUL

2012-03-19 17:16:02 9018 2

原创 逻辑坐标,物理坐标,以及双缓冲法画图方法详解

这是我用的是对话框中的PICTURE控件,其实pictures控件就是一个静态文本控件。我这个例子是基于对话框的。不是基于单文档应用程序的。首先我们需要搞清楚两个VC中的坐标系。窗口坐标=逻辑坐标=DC中实际画图函数用的坐标、比如moveto(),lineto()中的参数对应的都是逻辑坐标,画图用的。视口坐标=设备坐标=物理坐标(一个坐标点对应一个像素,可以这么理解)实际显示器大小

2012-03-17 21:14:41 3766

转载 MFC中创建了一个类,在classview中找不到了。

1、切换到 FileView ;2、找到看不到那个类的头文件;3、打开头文件,随便按个空格什么的,就出来了;4、不要保存头文件,关闭即可。

2012-03-17 11:39:34 1224 1

原创 修改对话框picture控件背景颜色的方法

两个方法:1、手动指定程序的picture大小:设一个CStatic的对象(picture控件是CStatic类型的):m_pic2;且定义该picture控件的标识ID为IDC_STATIC_PIC2;首先要在OnInitDialog()中完成获取picture控件的设备环境,即其DC。一个方式:通过m_pic2.m_pic2.SetWindowPos(NULL,0,0,51

2012-03-14 21:21:14 6409 4

转载 VC自定义坐标系

文章来源:http://hi.baidu.com/hu_ba_lin/blog/item/e695d2583a2c2c84800a18d4.html在用VC绘图的时候,需要自定义坐标系,即改变坐标的映射模式,在网上查找了很多相关的知识,也还是很糊涂,天极网上有一篇文章(有位Hier也转载了这篇文章,或者也许他就是作者),图文并貌,稍微详细一些,但也只是侧重讲解了固定比例映射模式,而可变比例

2012-03-14 10:19:20 1879 2

原创 关于设备上下文DC和GDI的理解

一、设备环境(Device Context)(设备上下文)    设备上下文DC是一个Windows数据结构,它包含了某个设备的绘制属性。通常,绘制调用都是借助于上下文对象,而这些设备上下文对象封装了用于画线、形状、文本等的Windows API。设备上下文是设备无关的,所以它既可以用于绘制屏幕,也可以用于绘制打印机甚至元文件。设备上下文在内存中创建,而内存经常受到扰动,所以它的地址是不固定的

2012-03-14 10:12:05 5731

原创 MFC 类继承关系图 Hierarchy Chart

2012-03-14 08:50:22 1633

转载 Vc中关于DC(设备环境函数(Device Context))的理解

问:设备描述表DC是一个什么概念,谁通俗的说说,先谢了学习Vc,首先遇到的就是这个dc,即设置描述表,输出文字,绘图都要用这个,好象它太重要了。但是我就是不明白,这是什么东西。 一些教程看了,但还是不太了解,谁能通俗的说说,能快速理解它,谢谢。 答:1、作画之前需要准备好画布、画笔、调色板等。 当使用GDI函数如MoveToEx/LineTo

2012-03-14 08:32:02 1197

原创 VC6.0编辑框CEdit的文本操作

创建一个编辑框对象,例如myedit。常用函数:myedit.Getsel( A,B):是用来获取编辑框中当前选定文本的开始与结束的位置,必须是选定文本的开始与结束位置,并分别存在两个参数中。myedit.Setsel():是用来选取指定范围内的文本,或者定位插入符的。Setsel(0,-1)的作用是选中当前所有文本。而Setsel(int nStartChar, int nEnd

2012-03-12 10:11:34 4266

转载 FPGA中inout端口使用方法总结

输入端口可以由wire/reg驱动,但输入端口只能是wire;输出端口可以使wire/reg类型,输出端口只能驱动wire;若输出端口在过程块中赋值则为reg型,若在过程块外赋值则为net型。用关键词inout声明一个双向端口, inout端口不能声明为reg类型,只能是wire类型;输入和双向端口不能声明为寄存器类型。INOUT引脚:1.FPGA IO在做输入时,可以用作

2012-03-08 20:51:11 17325

转载 CreateEvent用法

转自:http://blog.csdn.net/danhu/article/details/1779204CreateEvent用法HANDLE     CreateEvent(           LPSECURITY_ATTRIBUTES     lpEventAttributes,     //     SD           BOOL     bManualR

2012-03-07 11:40:56 859

转载 异步IO模型和Overlapped结构

转自:http://space.itpub.net/25897606/viewspace-705867OVERLAPPED结构主要用于异步I/O操作,其数据结构定义如下:typedef struct _OVERLAPPED {    DWORD Internal;     // 系统保留,存放系统设置的状态    DWORD InternalHigh; // 系统保留,存放被传

2012-03-07 11:34:53 1070

转载 vc6.0配置头文件和库文件的方法

转自:http://blog.csdn.net/zhizhichun/article/details/6758665三.附加头文件包含VC6.0中:VC6.0默认include包含路径:Tools>Options>Directories>Include files。对于特定项目的头文件包含,在“Project Setting>C/C++ (Category:Prepro

2012-03-06 22:13:55 4980

原创 EZ-USB 68013 学习笔记--设备信息安装文件CyUSB.inf详细说明

首先要明白一个INF文件的基本结构:INF由节组成,即一个个段组成。每个段的功能不一样。(大部分书上把这个段叫作“节”)[Version]段:主要用说明版本信息的。[Strings]段:字符串信息,用于常量定义,用于显示。凡在其他段中出现的%XXXX%(就是两个百分号夹着一组字母),则对应的就会在Strings段里对应着它的一个字符串。[SourceDisksNames]段: 定义安装

2012-03-06 16:07:17 5392

转载 USB2.0的高速、全速及低速设备的检测

1.9.1 Full-Speed / High-Speed Detection查看68013的技术参考手册英文版The USB Specification requires that high-speed (480 Mbits/sec) devices must also be capable of enumerating at full-speed (12 Mbits/sec). I

2012-03-05 16:48:16 9903 1

原创 EZ-USB 68013学习笔记(一)——USB协议之描述符

这里首先感谢电脑圈圈的USB那本书还有稻谷成熟了68013的固件研究首先我们需要理解什么是USB。大家不要把它想复杂了,其实USB就是一种串行通信方式,它具有自己特有的通信协议,就是USB通信协议,有些人可能问,什么是协议,我觉得协议就好像 两个人之间的暗号,同样两个设备通信的话,也要事先规定好一个暗号,按照这个暗号的方式,两个设备就可以进行交流了。下面我们开始说明一下USB的这个

2012-03-05 14:22:58 6096

转载 子类继承父类,那么子类实例化时对象调用的是父类还是子类的构造函数?

两个都调用,不过先调用父类构造函数,然后才调用子类构造函数。所以一般在子类的构造函数中第一条语句就是调用父类构造函数,不过如果你没有在第一条语句显示地调用父类构造函数,那会自动先调用父类的默认无参构造函数,当然这种情况下,你得保证父类必须有无参构造函数。  构造函数调用父类-》子类;析构函数调用子类-》父类

2012-03-02 15:04:53 1878

转载 Linux设备驱动开发学习步骤

http://lwj8666.blog.163.com/blog/static/18966939200932863740911/最近在学习这个linux设备驱动开放这一块,因为是自学的所以摸不到头绪,经过一段时间的摸索,现在又了一点认识,所以我在这里就来写一下1. 学会写简单的makefile2. 编一应用程序,可以用makefile跑起来3. 学会写驱

2012-03-02 14:41:49 800

PCI9054入门资料

个人总结的PLX9054基本作用,即地址映射和相关寄存器的配置。总结的有问题望大家拍砖。

2012-10-05

cortex-m3学习笔记(STM32)

我自己总结的STM32学习笔记,主要针对ALIENTEK MiniSTM32开发板的,适合初学者

2012-02-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除