xilinx_ug903阅读记录

1

  • 将时序约束与物理约束分开,并保存为两组分开的文件
  • 可以针对某个模块,使用单独的约束文件进行约束
  • IP的约束不显示在约束set中,它们存在于IP的源文件路径下
  • 默认情况下,所有的XDC和Tcl对综合/实现都起作用。不过,可以通过设置USED_IN_SYNTHESIS和USED_IN_IMPLEMENTATION属性来改变它们的行为
  • XDC约束是顺序执行的,且是基于优先级的
  • 可以使用report_compile_order -constraints来获得XDC文件的读入顺序

2

推荐的约束顺序为
Timing Assertions Section

  • Primary clocks
  • Virtual clocks
  • Generated clocks
  • Clock Groups
  • Bus Skew constraints
  • Input and output delay constraints

Timing Exceptions Section

  • False Paths
  • Max Delay / Min Delay
  • Multicycle Paths
  • Case Analysis
  • Disable Timing

Physical Constraints Section

  • located anywhere in the file, preferably before or after the timing constraints or stored in a separate constraint file

3

Vivado的约束管理器会将修改的约束保存到它的原XDC文件中。任何的新的约束会被保存在标记为target的XDC文件的末尾。

RECOMMENDED:Xilinx recommends that you separate timing constraints and physical constraints by saving them into two distinct files. You can also keep the constraints specific to a certain module in a separate file.

IMPORTANT: If your project contains an IP that uses its own constraints, the corresponding constraint file does not appear in the constraints set. Instead, it is listed along with the IP source files.

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值