自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(151)
  • 资源 (25)
  • 收藏
  • 关注

原创 ubuntu和petalinux常用命令 cnpmjs bt.ly j.mp

通过命令du -h –max-depth=1 *,可以查看当前目录下各文件、文件夹的大小,这个比较实用。如果只想查看指定目录的总大小,可以使用du -sh 目录名称。sudo tar -zcvf /mnt/hgfs/download/downloads.tar.gz ./downloadstar -zxvf /home/images.tar.gz -C /specific dir......

2020-11-19 21:31:58 1476 1

原创 COW chatgpt-on-wechat 项目微信机器人程序运行的语句追踪与事件驱动过程

COW chatgpt-on-wechat 项目微信机器人程序运行的语句追踪与事件驱动过程

2024-07-03 17:15:04 229

原创 LINKAI工作流的建立与调试,用到COW项目的微信机器人上

LINKAI工作流的建立与调试,用到COW项目的微信机器人上

2024-06-26 21:49:01 268

原创 无法连接microsoft store,解除 Win10/Win11 应用回环访问限制

无法连接microsoft store,解除 Win10/Win11 应用回环访问限制

2024-06-16 17:22:52 305

原创 GPT注册、手机验证码、侧边栏、翻译、绘图和视频的安装与使用

GPT侧边栏、翻译、绘图和视频的安装与使用

2024-06-04 12:14:20 195

原创 linux与windows脚本格式必须转换,linux只有LF

在文本处理中, CR, LF, CR/LF是不同操作系统上使用的换行符.如果windows下的脚本在linux下直接执行,则会造成无穷的错误。Dos和windows: 采用回车+换行CR/LF表示下一行.UNIX/Linux : 采用换行符LF表示下一行.MAC OS : 采用回车符CR表示下一行.修改完结束符,一切运行正常。

2024-05-19 17:25:14 160

原创 在ubuntu机器上当opening Block Design时Vivado GUI卡住打不开

这是由于软件的bug, 使用命令set。

2024-05-18 15:50:47 120

原创 在ubuntu20.04安装微信的两种方法

在ubuntu20.04安装微信的两种方法

2024-05-09 10:49:35 1368 1

原创 如何在 Ubuntu 22.04 或 20.04 Linux 上安装MobaXterm

如何在 Ubuntu 22.04 或 20.04 Linux 上安装MobaXterm

2024-05-08 21:19:04 2380

原创 linux或ubuntu环境下需要自行安装vivado USB Program下载程序驱动

linux或ubuntu环境下需要自行安装vivado USB Program下载程序驱动

2024-05-07 21:52:52 281

原创 18.04升级到20.04.6 Failed http://dl.google.com/linux/chrome/deb/dists/stable/InRelease linux_clash

升级ubuntu版本软件会碰到许多问题 我记述了遇到问题和如何解决的详细过程

2024-04-15 16:24:16 995

原创 ffmpeg命令与批处理编程

遍寻网上软件没有满意的,要么没有文件夹下的批量处理能力,要么不能设置透明度,自己编了一个批处理程序,完成文件夹和子文件夹下的所有文件加文字水印的批处理程序,在dos下安装ffmpeg即可运行,可设置透明度和字体颜色。

2024-04-12 11:36:00 655

原创 ffmpeg处理视频命令

ffmpeg处理视频命令

2024-04-07 17:08:30 451

原创 KV260 BOOT.BIN更新 ubuntu22.04 netplan修改IP

KV260 BOOT.BIN更新 ubuntu22.04不再采用network修改IP,而是用netplan修改IP

2024-04-03 11:08:30 639

原创 读取信息boot.bin和xclbin命令

Linux读取信息boot.bin和xclbin命令

2024-04-02 22:34:43 177

原创 MD an error occurred with HTML rendering component

下载安装Awesomium的SDK,最好使用1.6.6的版本,然后再重启MarkdownPad就行 下载链接:AwesomiumSDK1.6.6

2024-03-25 12:07:22 237

原创 虚拟机看不到共享文件夹

虚拟机看不到共享文件夹

2024-02-29 21:09:29 279

原创 股市指标计算公式 RSI MACD ADL KDJ MSI CCI CMF

股市指标计算公式 RSI MACD ADL KDJ MSI CCI

2024-02-27 19:49:42 961

原创 GPT翻译网站的加载与使用

GPT自动翻译的加载与使用

2024-02-16 10:36:33 1325

原创 pynq z2约束文件

pynq z2约束文件及注解

2023-08-11 15:52:33 881

原创 从数据取地址运算符& 从地址取数据运算符* 数组函数传送三种方法

从数据取地址运算符& 从地址取数据运算符*

2023-06-30 11:01:43 277

原创 undefined reference to `std::cout‘

或者后面加上 gcc xf_fast_axis_tb.cpp -lstdc++

2023-06-20 11:21:35 280

原创 tcl命令

目录全称 " /home/john/hls/fast_axis/data/128x128.png "-ldflags "-L ${OPENCV_LIB} 指出link文件库的位置。而makefile中的变量赋值则不同 CSIM?

2023-06-16 22:50:36 123

原创 xilinx vivado 综合时内存溢出,需要修改makefile并行线程数

xilinx vivado 综合时内存溢出,需要修改makefile并行线程数

2023-01-07 21:10:00 1036 1

原创 /bin/sh: 1: Syntax error: “(“ unexpected

/bin/sh: 1: Syntax error: "(" unexpected

2022-12-29 11:02:17 882

原创 windows11 取消windows登录密码 共享文件夹 输入法 去掉屏保广告 右键恢复原样

取消windows登录密码

2022-12-02 21:17:42 3313

原创 C盘下Anaconda瘦身

C盘下Anaconda瘦身

2022-11-27 21:37:09 4076

原创 虚拟机ubuntu gstreamer摄像头黑屏解决方法

虚拟机ubuntu摄像头黑屏解决方法

2022-10-30 20:43:21 954 1

原创 Could not get lock /var/lib/apt/lists/lock. It is held by process 1406 (packagekitd)

john@ubuntu:~$ sudo apt-get updateReading package lists... DoneE: Could not get lock /var/lib/apt/lists/lock. It is held by process 1406 (packagekitd)N: Be aware that removing the lock file is not a solution and may break your system.E: Unable to lock

2022-10-27 11:58:06 918 2

原创 Geany tried to access the Unix Domain socket of another instance running as another user.

Geany tried to access the Unix Domain socket of another instance running as another user.

2022-10-25 22:00:39 135

原创 writeFrame OpenCV | GStreamer warning: Error pushing buffer to GStreamer pipeline

python调试问题

2022-09-11 15:53:13 1442 2

原创 WSL window与ubuntu的拷贝与粘贴

WSL window与ubuntu的拷贝与粘贴

2022-07-10 19:35:03 1499

原创 vitis 重开机后项目打不开 Cannot determine URI for

vitis 重开机后项目打不开 Cannot determine URI for

2022-07-07 10:17:47 1211 1

原创 Linux 扩大内存采用扩大SWAP文件方法

Linux 扩大内存采用扩大SWAP文件方法

2022-07-03 17:45:54 543

原创 HLS问题 ERROR: ‘2207010155‘ is an invalid argument. Please specify an integer value.

HLS问题 ERROR: ‘2207010155‘ is an invalid argument. Please specify an integer value.

2022-07-02 20:07:49 931

原创 xilinx vivado 综合时内存不够问题 One or more synthesis runs failed during dynamic region dcp generation

xilinx vivado 综合时内存不够问题 One or more synthesis runs failed during dynamic region dcp generation

2022-07-02 20:03:53 2002

原创 作为word文档的二级标题,如何自动排序

word的二级或三级标题如何自动排序

2022-06-15 12:01:52 865

原创 pyenv: version `3.7.13‘ is not installed (set by /home/john/.pyenv/version)

pyenv: version `3.7.13' is not installed (set by /home/john/.pyenv/version)

2022-06-06 10:20:07 494

原创 undefined symbol: __gmpz_limbs_write 治疗仙方

undefined symbol: __gmpz_limbs_write

2022-06-03 20:31:33 617

原创 Ubuntu20.04与windows共享文件夹的最简设置

如果是在ubuntu20.04中,共享文件夹还需要以下的操作:建立文件夹:sudo mkdir /mnt/hgfs此命令无效sudo echo ‘vmhgfs-fuse /mnt/hgfs fuse defaults,allow_other 0 0’>>/etc/fstab用命令打开文件 john@ubuntu:~$ sudo geany /etc/fstab将语句vmhgfs-fuse /mnt/hgfs fuse defaults,a

2022-05-14 12:42:03 1310 1

可设置透明度和字体颜色和加文字水印的文件夹批处理程序

遍寻网上软件没有满意的,要么没有文件夹下的批量处理能力,要么不能设置透明度,自己编了一个批处理程序,完成文件夹和子文件夹下的所有文件加文字水印的批处理程序,在dos下安装ffmpeg即可运行,可设置透明度和字体颜色。

2024-04-12

pynq-z2开发板的约束文件

pynq-z2开发板的约束文件

2023-08-11

PYNQ 发布支持KV260 Kria SoM的镜像国内安装文件

新发布支持KV260支持PYNQ,但在国内由于你知道的原因无法安装成功,我修改了安装文件,你就可以享受成功的快感,将此install.sh文件拷到 /home/ubuntu/Kria-PYNQ/替换原文件即可

2022-01-28

xilinx bootgen boot.bin制作文档

这是xilinx描述boot.bin如何生成的文档,我今天到处找命令行如何生成boot.bin,终于找到,给大家分享

2021-12-26

Makefile调试输出变量源程序

用于调试时输出变量,记录用于今后调试用

2021-11-19

2020年全国大学生FPGA大赛基础能力测试题

这是2020年全国大学生FPGA大赛基础能力测试题,对大家学习FPGA、Verilog和准备赛前考试都是难得的学习材料

2021-11-05

Xilinx KV260所需下载的资料

Xilinx Kria KV260是Xilinx新推出的开发板,需要下载大量的软件、视频才能完成环境搭建和Demo, 我整理了一下方便大家使用

2021-10-19

yolov3训练用的人脸口罩mask数据集

我找了这个数据包很久,由于大家知道的原因无法下载,托远方的朋友下载,在这里分享给大家

2021-10-04

清除虚拟机windowsPE虚拟机工具包

本资源是我的博客清除虚拟机windows server 2008 R2密码方法的配套资源,本人亲自调试,做过许多调整,非网上抄袭,确保成功

2021-09-17

内含ila和vio双重工具, 并首次以BD形式实现的任意波形发生器

全网独创,使用ila逻辑分析仪和虚拟输入输出控制vio, 并以BD形式实现,以zedboard为开发板,使用vivado2018.3 任意波形发生器,作者花了几天时间亲自编程调试,非网上抄袭,系独创作品

2021-09-16

zedboard用户参考手册

zedboard用户参考手册,详细描述了开发者所关心的各种接口和开发资料,希望能帮到你

2021-09-14

Zedboard 正弦信号发生器vivado工程文件

Zedboard 正弦信号发生器vivado工程文件,版本vivado2016

2021-09-13

Xilinx DDS信号发生器vivado工程文件

Zedboard DDS信号发生器vivado工程文件,vivado版本2018.3,可适用于任意波形的产生,作者花了几天亲自编写验证,是学习的最佳教材

2021-09-13

使用VS2015软件和ssl库实现sm2,sm3,sm4加密源码工程文件

使用VS2015软件和ssl库实现sm2,sm3,sm4加密源码, 可作为作业或研究参考

2021-09-09

使用VS2015软件sha256加密源码工程文件

sha 256,亲测可用,使用源码,未使用ssl库,使用VS2015软件, 可以作为作业参考或研究参考

2021-09-09

使用VS2015软件AES 128加密源码工程文件

AES 128 加密,亲测可用,使用源码,可不定长加密,未使用ssl库,使用VS2015软件,可以作为作业参考或研究参考

2021-09-09

fifo完整例程.rar

网上尽管有各种介绍fifo的文章,但大部分没有一个完整的例程来练习,这里给出能够完整演示的fifo例程和仿真分析。工程文件虽然部分基于xilinx的官方例程,但官方例程没有testbench,这部分是自己编写的,并对不同的配置进行了分析,完整的工程文件

2021-02-10

Ultra96安装指导和无线配置(更新版)

ultra96对于初学者,最难的是如何安装设置与计算机连接,本文介绍了有线和无线的多种连接方式,介绍了连接工具和参数设置。

2020-10-04

xilinx petalinux2019.2开发的ultra96v2 BSP,含硬件设计和软件配置.docx

目前xilinx官方和第三方avnet并没有提供ultra96v2 2019.2版本的BSP,本人用vivado2019.2和petalinux2019.2开发了ultra96v2的含硬件和系统的BSP,可以为vitis和其它开发提供平台,欢迎交流!

2020-04-06

C++车道线检测例程,环境为VS2015以上和opencv-4.1.2

C++车道线检测例程,环境为VS2015或VS2017及以上版本,CV是最新版的opencv-4.1.2,均是作者教学科研过程中的实例,并配置一篇文档将安装软件及程序设置加以说明。

2019-11-09

Ultra96安装指导.docx

自己经历了许多坑,总结出来,以避免大家花时间去摸索,其中有一些是指导书或系统本身有缺陷和问题所致,均在文中指出,与大家分享!

2019-10-18

Anaconda环境和opencv模块安装.docx

介绍典型的python软件Anaconda和视频模块cv的安装指导,重点介绍了如何离线安装,cv模块是python最重要的特色图像应用必须的软件,这些都是人工智能学习的必备工具软件,均是作者亲历原创。

2019-10-11

最新CUDA 10.0和cuDNN 10.0及tensorflow GPU 2.0安装教程.docx

内容为2019年7月25日最新软件作者历经数天自己安装成功的安装指南,与大家共享。

2019-07-25

中兴交换技术培训资料

中兴交换技术培训资料,帮助你迅速熟悉交换机技术及其设备

2019-02-01

Myeclipse-2014-GA-破解文件

Myeclipse-2014-GA-破解文件,本人亲测于2015年1月23日春节假期

2015-02-23

STM8 单片机ADC、Timer、USART实用例程

这是一个我花了较长时间摸索出来的STM8L-051的例程,它控制LED灯,Timer2定时100us进入中断,软件启动ADC,采样10 次后取平均,将结果通过UASART发送至PC机,在超级终端上显示的实用程序,因其内存极小,不能用printf等函数,因此对于想用这款资源极少的MCU的开发者来说,读这篇文章会大大节约你的研发时间。

2014-09-16

在WIN7 64位机Excel 2010安装MSCOMM控件的方法及遇到CANNOT INSERT OBJECT等的应对措施

在WIN7 64位机Excel 2010安装MSCOMM控件的方法及遇到CANNOT INSERT OBJECT 及 the subject is not trusted for the specified action的应对措施,遍查所有中文文献,均无解,自己独创,不敢独自享用,拿来给大家分享。

2014-03-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除