自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

liudongdong_jlu

成为自己想成为的人永远不会太迟

  • 博客(74)
  • 资源 (19)
  • 问答 (2)
  • 收藏
  • 关注

转载 SHA加密算法

SHA:Secure Hash Algorithm安全散列算法  与MD5算法极为相似。长度不超过2^64位的字符串或二进制流,经过SHA-1编码后,生成一个160位的二进制串。 SHA-1算法也与MD5类似,都是把输入二进制串分成512位的块,把二进制串的位数存储在最后64位,二者之间填充为0,依次对每个块进行一些列高深的数学运算,最后得到一个160位的二进制串。...

2018-07-31 23:39:40 3340

原创 PBC library 学习笔记

https://blog.csdn.net/u013983667/article/details/54582126

2018-07-31 23:35:54 864

转载 C:\Windows\SysWOW64\ntdll.dll”。无法查找或打开 PDB 文件

64位vs2017可以运行调试32位工程;有些错误可能是因为IDE不同版本导致的,例如vs2005工程在vs2017上运行出现一系列错误。vs里32位项目和64位项目的区别:由于操作系统内存分配的不同,导致软件开发过程中,需要编译不同版本的软件。 1。编译程序根据需要选择不同的编译环境。 x86和win32为32位程序,x64为64位程序,可以选择不同的编译条件形成不同位的软件。 ...

2018-07-31 23:05:30 29142 13

转载 “error LNK2019:无法解析的外部符号”的解决方案

考虑可能的原因:error LNK2019: 无法解析的外部符号 __imp___CrtDbgReportWerror LNK2019: 无法解析的外部符号 __imp___CrtDbgReportW,该符号在函数 "void __cdecl ATL::AtlConvAllocMemory<wchar_t>(wchar_t * *,int,wchar_t *,int)" (??...

2018-07-31 23:02:50 8236

转载 vs2017 动态链接库

PBC库下载地址:https://crypto.stanford.edu/pbc/download.html使用PBC库需要用到gmp.hGMP库下载地址:https://gmplib.org/ 本文用到的pbc.lib与pbc.dll是pbc-0.5.14-win32-bin.zip中的PBC和GMP的头文件是官网源代码包中的 PBC的头文件需要一些修改,参照 htt...

2018-07-31 21:43:59 4869

转载 error LNK2026: 模块对于 SAFESEH 映像是不安全的

在使用VS2015编译工程时,提示错误:" error LNK2026: 模块对于 SAFESEH 映像是不安全的" 解决方法:1.打开该项目的“属性页”对话框。2.单击“链接器”文件夹。3.单击“命令行”属性页。4.将 /SAFESEH:NO 键入“其他选项”框中,然后点击应用。...

2018-07-31 21:27:32 3474

转载 解决“错误D8016“/ZI”和“/Gy-”命令行选项不兼容”问题

VS2015调试中出现上述问题,我们需要手动改变/ZI命令行选项 或者 /Gy命令行选项, 进入“项目”—>“属性”—>“C/C++”(1)—>“常规”—>“调试信息格式”—>选择“程序数据库(/Zi)”或“无”或者 (2)—>“代码生成”—>“启用函数集链接”—>选择“是 (/Gy)”如果遇到其他的命令行选项不兼容,...

2018-07-31 20:33:33 3759

转载 解决VS2017不能打开stdio.h等文件的问题

参考链接:https://www.jb51.net/article/119922.htm从另一台机器上复制过来的项目,由于两台机器的库目录不一致,导致了stdio.h等很多文件都打不开:解决的办法是从新设置包含目录。选择项目-->%项目名称%属性-->VC++目录,设置包含目录为C:\Program Files %28x86%29\Windows Kits\10\Inclu...

2018-07-31 20:31:49 15671

转载 turtle 安装

Python3安装turtle提示错误:Command "python setup.py egg_info" failed with error code 1Python3.5安装turtle:pip3 install turtle提示错误:Collecting turtle Using cached https://files.pythonhosted.org/packa...

2018-07-30 14:00:27 7808 3

转载 物联网学习笔记

物联网技术架构1. 显示端JavaScript,以java语言为主的Web框架等Spring全家桶,Android,IOS,微信公众号,直接板载液晶显示屏,触摸屏,移植性比较好的QT2. 通信MQTT OTA NB-IOT Zigbee 蓝牙 NFC WiFi 网关后的以太网3. 设备端物联网芯片 Arduino,Raspberry Pi,ESP32,C51,...

2018-07-30 12:23:42 16030 3

转载 【Raspberry】 motion_camera

EquipmentBelow are some of the pieces of equipment that you will need to be able to complete this tutorial.Recommended:Raspberry PiMicro SD CardorSD Card(If you’re using an old version of...

2018-07-30 09:02:19 692 1

转载 为树莓派更换国内镜像源

pip install turtle --trusted-host mirrors.aliyun.com   下载时声明源一:树莓派常用软件源列表中山大学Raspbian http://mirror.sysu.edu.cn/raspbian/raspbian中国科学技术大学Raspbian http://mirrors.ustc.edu.cn/raspbian/raspbian...

2018-07-29 23:00:25 4931 2

原创 Python代码的人脸检测

face_detectionimport cv2face_patterns = cv2.CascadeClassifier('/usr/local/share/OpenCV/haarcascades/haarcascade_frontalface_default.xml')sample_image = cv2.imread('one.jpg')faces = face_patt...

2018-07-29 17:32:00 1538

转载 树莓派Python虚拟环境的开启与关闭

虚拟环境是Python解释器的一个私有副本,在这个环境中你可以安装私有包,而且不会影响系统中安装的全局Python解释器。这虚拟环境是很有用的,可以在系统的Python解释器中避免包的混乱和版本的冲突。安装虚拟环境:sudo apt-get install python-virtualenv创建虚拟环境:virtualenv your virtualenv name激活虚拟...

2018-07-29 16:27:27 6874

转载 Processing

https://www.openprocessing.org/browse/#processing学习代码:// Create a window.void setup() { size(640,360); smooth(); } // Draw ellipses from mouse Positionvoid draw() { if ...

2018-07-29 14:18:07 858

原创 【软件安装】树莓派_opencv4.0.0pre

Step #1: Expand filesystem 1 $ sudo raspi-config And then select the “Advanced Options” menu item:Figure 1:Select the “Advanced Options” item from the “raspi-config” menu....

2018-07-29 11:14:20 4027 1

转载 MQTT

背景 随着移动互联网慢慢进入后半场,越来越多的公司将注意力转移到物联网,希望通过早期布局来占领这个行业的制高点,比如目前流行的摩拜单车和OFO单车都是典型的物联网应用。物联网本身并不是什么新概念,随着大数据、AI等技术的发展,大家意识到传统的物联网通过一定改造,借助大数据以及AI技术可以获得很多额外的价值。这里主要介绍物联网的接入服务,物联网主流接入协议分为MQTT,CoaP,Http,XMPP...

2018-07-28 23:09:40 1215

转载 Django,Nginx和uWSGI关系简介

一、简介1. Nginx简介Nginx 是一个高性能的HTTP和反向代理服务器(关于正向代理和方向代理,可参考博客:https://www.cnblogs.com/Anker/p/6056540.html),也是一个IMAP/POP3/SMTP服务器。在高连接并发的情况下,Nginx是Apache服务器不错的替代品。 Nginx 一般监听服务器的80或者443端口(listen 80 就...

2018-07-26 17:00:02 1026

转载 AWS IoT 介绍

转自:https://www.cnblogs.com/ibrahim/p/5849873.html平台定位AWS IoT是一款托管的云平台,使互联设备可以轻松安全地与云应用程序及其他设备交互。 AWS IoT可支持数十亿台设备和数万亿条消息,并且可以对这些消息进行处理并将其安全可靠地路由至 AWS 终端节点和其他设备。应用程序可以随时跟踪所有设备并与其通信,即使这些设备未处于连接状态也不...

2018-07-21 21:30:44 7640

转载 爬取百度地图

#coding=utf-8import MySQLdbconn= MySQLdb.connect(host='localhost' , user='root', passwd='root', db ='baidumap', charset="utf8")cur = conn.cursor()sql = """CREATE TABLE city ( id INT NOT...

2018-07-21 18:19:28 2497

转载 知乎live爬取

import requestsdef scrapy(link): headers = { 'User-Agent' : 'Mozilla/5.0 (Windows NT 6.1; WOW64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/57.0.2987.98 Safari/537.36' } r =...

2018-07-21 18:08:23 2453 1

转载 爬取维基百科

深度优先的递归爬虫#!/usr/bin/python# coding: utf-8import requestsimport reimport timeexist_url = []news_ids = []g_writecount = 0def scrappy(url, depth = 1): global g_writecount try: ...

2018-07-21 17:02:11 3038

原创 VHDL 加法器

-用结构方法设计一个半加器。两个一位二进制数Ai 和Bi相加,Si为半加器的和,Si+1为进位输出。--第一步设计低层实体:xor_gate Library ieee;Use ieee.std_logic_1164.all;Entity xor_gate isPort( Op1 :in std_logic; Op2 :in std_logic ; Xor_resul...

2018-07-20 11:40:29 1501 1

转载 3-8译码器与分频器

练习demo: LIBRARY IEEE; --38decoderUSE IEEE.std_logic_1164.ALL;ENTITY decoder_38 ISPORT(a,b,c,g1,g2a,g2b:IN std_logic; y:OUT std_logic_vector(7 DOWNTO 0));END decoder_38;AR...

2018-07-20 11:34:30 1261

原创 FPGA 练习demo

demo1:FPGA驱动LED静态显示library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity decoder isPort (seg:in std_logic_vector(3 downto 0 ); --四位二进制码...

2018-07-20 11:30:22 809

原创 FPGA 优秀学习代码

https://github.com/HengRuiZ/from-key-array-to-the-LED-lattice/blob/master/README.md

2018-07-20 11:25:20 4293

原创 四位比较器

四位比较器demo:library IEEE;use IEEE.STD_LOGIC_1164.ALL;-- Uncomment the following library declaration if using-- arithmetic functions with Signed or Unsigned values--use IEEE.NUMERIC_STD.ALL;-- ...

2018-07-20 11:07:37 7899

转载 Hamming Code

General algorithm[edit]The following general algorithm generates a single-error correcting (SEC) code for any number of bits.Number the bits starting from 1: bit 1, 2, 3, 4, 5, 6, 7, etc. Write t...

2018-07-19 10:49:37 1086

原创 【软件安装】MongoDB 下载

MongoDB 提供了可用于 32 位和 64 位系统的预编译二进制包,你可以从MongoDB官网下载安装,MongoDB 预编译二进制包下载地址:https://www.mongodb.com/download-center#community注意:在 MongoDB 2.2 版本后已经不再支持 Windows XP 系统。最新版本也已经没有了 32 位系统的安装文件。Mo...

2018-07-19 09:10:47 1496

转载 【计算机视觉】图像拼接技术

转载来源:http://www.cnblogs.com/skyfsm/p/7411961.html图像拼接在实际的应用场景很广,比如无人机航拍,遥感图像等等,图像拼接是进一步做图像理解基础步骤,拼接效果的好坏直接影响接下来的工作,所以一个好的图像拼接算法非常重要。再举一个身边的例子吧,你用你的手机对某一场景拍照,但是你没有办法一次将所有你要拍的景物全部拍下来,所以你对该场景从左往右依次拍了...

2018-07-16 19:27:22 8907

转载 【计算机视觉】光流法追踪视频中目标

一.基于特征点的目标跟踪的一般方法 基于特征点的跟踪算法大致可以分为两个步骤: 1)探测当前帧的特征点; 2)通过当前帧和下一帧灰度比较,估计当前帧特征点在下一帧的位置; 3)过滤位置不变的特征点,余下的点就是目标了。 很显然,基于特征点的目标跟踪算法和1),2)两个步骤有关。特征点可以是Harris角点(见我的另外一篇博文),...

2018-07-16 19:17:38 4644

转载 Angry IP scanner

Angry IP scanner,这款软件最大的用处就是可以扫描某一网段的各个主机的ip。通过使用发现,原理就是通过快速的ping每个ip,如果有主机存在,就获取这个主机的用户名、IP以及Port。 下载地址和详细介绍参见官网[Angry IP Scanner官网](http://angryip.org/ 作用:场景一:忘记某台网络设备的IP,可以通过这中方法获取。 场景二:想知道某人的IP或者计...

2018-07-15 23:23:23 2680

转载 FPGA应用领域

FPGA简介  FPGA(Field Programmable Gate Array)于1985年由xilinx创始人之一Ross Freeman发明,虽然有其他公司宣称自己最先发明可编程逻辑器件PLD,但是真正意义上的第一颗FPGA芯片XC2064为xilinx所发明,这个时间差不多比摩尔老先生提出著名的摩尔定律晚20年左右,但是FPGA一经发明,后续的发展速度之快,超出大多数人的想象,近些年的...

2018-07-15 16:38:29 27971 1

转载 声音传感器

验采用OJ模拟声音传感器,驻极体检测声音大小,并经过放大电路输出模拟量。所以,检测声音的大小就与输出的模拟量成正比。实验中,我们采用模拟声音传感器循环检测外部音量,当超过一定值后,点亮LED,延时一段时间后,熄灭LED。这样模拟夜间楼道声控灯工作。实验所需模块清单:Zduino UNO × 1;OJ传感器扩展板× 1;USB数据线 × 1 ;3p杜邦线 × 2 ;声音传感器模块 × 1 ;食人鱼L...

2018-07-15 16:29:18 13075 2

原创 LCD1602

LCDdemo/* LiquidCrystal Library - Hello World Demonstrates the use a 16x2 LCD display. The LiquidCrystal library works with all LCD displays that are compatible with the Hitachi HD44780 driver....

2018-07-15 16:17:40 402

转载 烟雾及可燃气体检测模块MQ-2

 产品参数1、敏感气体 液化气,丙烷,氢气 2、升压芯片 PT1301 3、工作电压 2.5V-5.0V 4、产品尺寸 40.0mm*21.0mm 5、固定孔尺寸 2.0mm简介:MQ-2是一个烟雾感应模块,原理是用针对特殊气体或微粒敏感的电阻来判断是否存在可燃气体或烟雾颗粒。输出有两种方式,A0口输出当前特殊气体含量参考值(0-1023),基本上100以下的示数为正常。D0口根据预先设定的参考值...

2018-07-15 15:20:28 17616 2

转载 DHT11温湿度传感器

一、器材 arduino UNO、面包板、DHT11温湿度传感器、连接线 这里说一下DHT11的基本情况: (1)引脚说明: 1、VDD 供电 3.5V-5.5V DC 2、DATA 串行数据,单总线 3、GND 接地,电源负极。 (2)DHT11data数据格式: 一次传输40位数据=8bit湿度整数数据 + 8bit湿度小数数据 + 8bint温度整数数据 + 8bit温度小数数据 + 8bi...

2018-07-15 15:19:45 20733 1

转载 人体红外传感器(HC_SR051)实现 人体感应灯

BOM表Arduino Uno                                        *1人体红外传感器(HC_SR051)      *1跳线(公对母)若干接线方式Arduino Uno                   HC_SR0515V                    <--->              VCCA5               ...

2018-07-15 13:44:59 12727

转载 三色LED灯

1)       说明:LED灯是发光二级管,反着接电阻无穷大,正着接不需要考虑电阻。一般小的LED灯可通过的最大电流为30mA,如电压为5V,加一个220欧电阻后,电流约22mA左右,则可以保证不烧坏LED。另外,控制明暗需要接Arduino的PWM口(数字前带~的)2)       硬件:四脚三色LED灯,面包板,电阻220欧三只,Arduino uno,杜邦线3)       连接:下例为共...

2018-07-15 13:41:14 5784

转载 Arduino 传输数据类型转换

 itoa():将整型值转换为字符串。  ● ltoa():将长整型值转换为字符串。    ● ultoa():将无符号长整型值转换为字符串。  ● gcvt():将浮点型数转换为字符串,取四舍五入。  ● ecvt():将双精度浮点型值转换为字符串,转换结果中不包含十进制小数点。  ● fcvt():指定位数为转换精度,其余同ecvt()。 ● atof():将字符串转换为双精度浮点型值。   ...

2018-07-14 13:31:42 13928 1

python qt 人脸识别,登录,手势识别包括可编辑ui文件

python qt 人脸识别,登录,手势识别包括可编辑ui文件

2021-08-05

Linux书籍和场面工具笔记

书籍包括:Getting Started with Ubuntu 16.04.pdf,以及GNU_linux_tools工具总结

2021-08-04

BigData.zip

Storm企业级应用实战、运维和调优书籍资料以及相关storm学习笔记ppt

2021-08-04

弯曲传感器模块资料和各平台实例代码

Flex弯曲传感器使用说明和相关教程,包括基于51开发板的模拟和数字串口读取,基于Arduino开发版的模拟和数据读取代码,基于STM32的模拟和数字读取代码。

2021-08-04

Matlab 论文图例代码和修改样式

适合使用matlab进行论文图例绘制

2021-08-04

Fate白皮书.pdf

联邦学习白皮书,介绍了联邦学习基本概念,类别,行业应用领域以及Fate 联邦学习开源框架,系统结构,功能模块,以及各个功能模块大概介绍,具体参考github上Fate项目。

2020-04-10

1MMML-Tutorial-ACL2017.pdf

Multimodal Machine Learning PPT and document to give you an introduce to MMML area.

2019-10-23

RAD 书籍管理

Final RAD IDE 使用,javaee书籍管理,RAD IDE 使用,javaee书籍管理

2018-11-25

ad转化和液晶屏显示

ad转化和液晶屏显示

2018-11-22

银行管理系统

spring boot angularjs银行管理系统

2018-11-20

多元数据库配置

spring boot 多元数据库配置

2018-11-16

javafx spring boot

学习spring boot ,javafx ,图书馆管理系统记录,学习spring boot ,javafx ,图书馆管理系统记录

2018-11-16

多传感器融合

多传感器融合处理,课件记录。

2018-11-14

websphere安装配置部署

websphere安装配置部署详细教程

2018-09-02

IBM web 实验案例指导

IBM web 实验案例指导,详细指导,不过是全英文的,IBM web 实验案例指导,详细指导,不过是全英文的,

2018-09-02

coolTermWin端口调试

CoolTerm is a simple serial port terminal application (no terminal emulation) that is geared towards hobbyists and professionals with a need to exchange data with hardware connected to serial ports such as servo controllers, robotic kits, GPS receivers, microcontrollers, etc. Written in Xojo.

2018-08-08

stm32环境安装

stm32安装工具,使用Keil MDK进行,MDK破解:::一次用注册机产生密码,在license里可能不可以激活。第一次运行一定要记住,要以管理员的身份运行mdk。以管理员的身份运行,注册成功。

2018-08-02

马尔可夫链

详解介绍马尔可夫链,里面包含一系列简单实例案例,容易理解

2018-05-17

牵手小吉界面

展示静态原型

2017-07-22

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除