UVM phase机制(三)objection机制

在上一篇博客UVM phase机制(二)中,我们有介绍到run_phase以及12个run_time_phase是如何运转起来的,但是留了一个小问题就是objection,想要run必须raise_objection,要想结束run必须drop_objection。下面我们详细分析一下为什么会这样
在运行到run_node的时候,是这样一个执行结构,

fork
   fork
            env.run_phase(uvm_phase phase);
   join_none
   fork
            uvm_test_top.run_phase(uvm_phase phase);
   join_none
   fork
            top.run_phase(uvm_phase phase);
   join_none

join_none

但是,最终完整的执行结构是

fork
   fork
            env.run_phase(uvm_phase phase);
   join_none
   fork
            uvm_test_top.run_phase(uvm_phase phase);
   join_none
   fork
            top.run_phase(uvm_phase phase);
   join_none

join_none
#0;
fork
    begin
	      fork
		         process(0);//junp
				 process(1);//objection
				 process(2);//timeout
		  join_any
		  disable fork
	end

join

所有的进程都是并行的,因此如

  • 3
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值