UVM sequence机制(4)(response 特性)

本文深入探讨UVM sequence的response特性。当driver的item_done返回带参数rsp时,会触发seq_item_export的put_response,进而通过sequence_id找到对应sequence并调用其put_response方法,将rsp存入response_queue。为防止队列溢出,sequence需周期性调用get_response。总结来说,driver的put_response需与sequence的get_response配对使用。
摘要由CSDN通过智能技术生成

这篇我们介绍一下sequence 机制的response 属性。

/sequence1

class sequence1 extends uvm_sequence;
............................
............................

virtual task body();

     item1    req1;
     item1    rsp1;
     repeat(10) begin
	       req=new("req1");
		   start_item(req1);
		   ...............
		   finish_item(req1)
		   get_response(rsp);
	       .........................
	       .........................
	       .........................
	 end

endtask


endclass
/sequence1
///driver
class my_driver extends uvm_driver #(item1);
      .......................
      .......................
      .......................
      virtual task run_phase(uvm-phase phase);
                         ......................
                         ......................
                         seq-item_port.get_next_item(req);
                         ...................................................
                         ......
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值