System Verilog学习笔记(十五)——包的使用

System Verilog学习笔记(十五)——包的使用

为了使得可以在多个模块或者类之间共享用户定义类型,SV添加了包(package)。用户自定义的类型例如类、方法、变量、结构体、枚举类型等都可以在package…endpackage中定义。

package pkg_a;
	class packet_a;
	endclass
endpackage

module tb;
	class packet_tb;
	endclass
	initial begin
		packet_tb ptb=new();
	end
endmodule
  • module、interface、class等可以使用包中定义或者声明的内容
  • 可以通过域的索引符::号直接引用
  • 可以指定索引一些需要的包中定义的类型到指定的容器中
  • 通过通配符*来将包中所有的类别导入到指定容器中
  • 9
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值