System Verilog学习笔记(十一)——数组(1)

本文详细介绍了SystemVerilog中数组的使用,包括非组合型(unpacked)和组合型(packed)的区别,初始化方法,以及foreach循环结构和系统函数如$dimensions等在处理数组时的应用。
摘要由CSDN通过智能技术生成

System Verilog学习笔记(十一)——数组(1)

非组合型(unpacked)

  • 成员之间存储数据都是相互独立的
  • 可以索引非组合型数组或者数组片段的能力
  • 声明方式:
logic [310] data [1024];
logic [31:0] data [0:1023]; //两种都是一维的
  • 初始化需要通过‘{}来对数组的每一个维度进行赋值
int d [0:1][0:3] ='{'{7,3,0,5},'{2,0,1,6}};
  • 用’{ }和default关键词对其进行初始化
  • 非组合型数组在发生数组间拷贝时,要求左右两侧操作数的维度和大小必须一致。

组合型(packed)

  • 声明方式
wire [3:0] select; //4-bit 
reg [63:0] data; //64-bit
logic [3:0][7:0] data; //二维数组
  • 定义结构体的存储方式
typedef struct packed{
logic [7:0] crc;
logic [63:0] data;
} data_word;
data_word [7:0] darray;
  • 初始化和向量初始化一致
logic [3:0][7:0] a =32'h0;
logic [3:0][7:0] b ={16'hz, 16'h0};
logic [3:0][7:0] c ={16{2'b01}};
  • 组合型数组会被视为向量,因此当赋值左右两侧操作数的大小和维度不同时也可以做赋值。

foreach循环结构

  • SV添加foreach循环来对一维或者多维数组进行循环索引,而不需要指定该数组的维度大小。
  • foreach循环结构中的变量无需声明
  • foreach循环结构中的变量是只读的,其作用域只在此循环结构中。

系统函数

  • $dimensions(array_name):用来返回数组的维度
  • $left(array_name, dimension):返回指定维度的最左索引值
  • $right,low,high
  • $size(array_name, dimension):用来返回指定维度的尺寸大小
  • $increment(array_name,dimension):如果指定维度的最左索引值大于或者等于最右索引值,那么返回1,否则返回-1.
  • $bits(expression):用来返回数组存储的比特数目
  • 10
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值