UVM中域的自动化的声明及copy/compare/print函数举例及仿真

 factory机制有对域的自动化的声明,声明之后对于pkg中的一些函数无需定义即可直接使用,包括copy(),compare(),print(),增加代码的可重用性。

 typedef enum {WRITE, READ, IDLE} op_t;  //枚举类型声明

  class trans extends uvm_object;
    bit[31:0] addr;
    bit[31:0] data;
    op_t op;
    string name;
    `uvm_object_utils_begin(trans)
     //域的自动化的声明
       `uvm_field_int(addr, UVM_ALL_ON)//包含变量名称和对数据的操作
	   `uvm_field_int(data, UVM_DEFAULT)
       `uvm_field_enum(op_t, op, UVM_ALL_ON)
       `uvm_field_string(name, UVM_ALL_ON)

    `uvm_object_utils_end
    function new(string name = "trans");
      super.new(name);
      `uvm_info("CREATE", $sformatf("trans type [%s] created", name), UVM_LOW)
    endfunction
    function bit do_compare(uvm_object rhs, uvm_comparer comparer);//回调函数,自定义想要比较的类型
       trans t;
      do_compare = 1;
      void'($cast(t, rhs));
      if(addr != t.a
  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值