UVM中组件实例的创建与覆盖

factory机制创建类型必须要经过注册后才可创建 

class object_create extends top;//test继承于顶层test实例top
    trans t1, t2, t3, t4;//例化四个trans
    `uvm_component_utils(object_create)//注册

    //重新声明初始化object_create实例
    function new(string name = "object_create", uvm_component parent = null);
      super.new(name, parent);
    endfunction   
 
    //重新声明build_phase
    function void build_phase(uvm_phase phase);
      uvm_factory f = uvm_factory::get(); // GET SINGLETON FACTORY
      super.build_phase(phase);
      t1 = new("t1"); // 用sv的方法学例化t1组件
     
	  t2 = trans:: type_id::create("t2",this); //用uvm工厂创建的方法创建实例t2
  
      void'($cast(t3,f.create_object_by_type(trans::get_type(), get_full_name(), "t3")));
        //利用工厂函数创建t3
     
	  void'($cast(t4,create_object("trans", "t4"))); //在组件object中定义method创建t4

    endfunction
  endclass
class object_override extends objec
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值