同步双端口ram实现

深度16,数据宽度16, 地址宽度7bit

//同步双端口ram,位宽16,深度128

module DP_RAM #(
    parameter ADDR_WIDTH = 7,
    parameter DATA_WIDTH = 16,
    parameter DATA_DEPTH = 16
) (   
    input cs_n,
    input [DATA_WIDTH-1:0]wr_data,
    input [ADDR_WIDTH-1:0]wr_addr,
    input wr_en,

    input [ADDR_WIDTH-1:0]rd_addr,
    input rd_en,
    output [DATA_WIDTH-1:0]rd_data_o,

    input clk,rst_n
);
reg [DATA_WIDTH-1:0] mem [DATA_DEPTH-1 : 0];
reg [DATA_WIDTH-1:0]rd_data;
integer i;
//initialization
 
// synopsys_translate_off
initial begin
    for(i=0; i < DATA_DEPTH; i = i + 1) begin
        mem[i] = 16'h0000;
    end
end
// synopsys_translate_on


always@(posedge clk or negedge rst_n)
    begin

                 if ((~cs_n) && wr_en)
                    begin
                        mem[wr_addr] <= wr_data;
                    end
    end


always@(posedge clk or negedge rst_n)
    begin
         if ((!c
  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值