SV线程及线程间通信

1、 在测试平台环境里,大多数语句块被模拟成事务处理器(transaction),运行在各自并发执行的线程里。

2、 线程间通信:event、事件控制、wait语句、mailbox、旗语

3、join none块后语句的执行早于块内的任何语句

4、 构造函数new只对数值进行初始化,并不启动任何线程,二者分开允许在执行transaction之前修改任何变量。

5、线程中的automatic变量。

如果在for循环语句中使用了fork join none,程序program回使用tr来匹配即将到来的事务,且tr的值在下一次循环时改变。所以在并发线程中必须使用automatic变量来保存数值i。否则最终得到的是不断被替换的tr最终数值。

initial begin
for(int i=1;i<4;i++)
    fork
        automatic int k=j;
        $write(k);
    join_none
#0$display;
end

或者直接声明 program automatic a;

6、 disablefork线程是停止所在的线程,处于某线程外不能实现终止。

7、 数据交换和控制的同步称为IPC线程间的通信,通过event、旗语、mailbox来完成

8、 在循环中使用事件,如果是wait (a.triggered());这是一个零时延循环,点ing敏感的阻塞语句将会一直触发;@(a),避免零时延循环,只在事件到来之后触发。边沿触发

9、wait fork等待多个线程;对触发事件进行计数来等待多个线程。

10、 mailbox构造函数0或无指定,则信箱无限大。

11、 两个线程之间可以通过mailbox传递信息,mb里存储的是句柄而不是对象

12、 mailbox实现同步:一个定容mb,步调不一致;

定容mb+peek() ,有阻塞但只在put第二个时才阻塞,所以还是早一个trans;

mb+event:通过发送数据后等待握手信号和处理数据后触发握手信号实现同步通信。

两个mb:最常用

mbx.put/get(i);

rst.get/put(k);

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SV线程间通信可以通过event、mailbox和semaphore来实现。 一个实例是使用event进行线通信。当两个线程需要进行数据交换或者知道彼此的状态时,可以使用event来进行通信。通过设置一个event,一个线程可以等待另一个线程触发该event来进行通信。 另一个实例是使用semaphore进行线通信。当有多个线程需要共享资源或者进行同步操作时,可以使用semaphore来进行通信。在内存中创建一个semaphore类似于创建一个篮子,篮子中包含一定数量的钥匙。每个线程在执行之前必须获取一个钥匙。当一个特定的线程需要钥匙时,只有一定数量的线程可以同时运行。 还有一种线通信的方式是使用mailbox。Mailbox是SV中不同进程间通信方式。通过mailbox,可以在不同的进程之间传递信息。一个进程中的数据可以通过mailbox传递给另一个进程。当mailbox中没有数据时,线程将等待。Mailbox类似于一个FIFO队列,可以设置一定的深度。 综上所述,SV线程间通信可以通过event、mailbox和semaphore来实现。 #### 引用[.reference_title] - *1* [【sv线程之间的通信】](https://blog.csdn.net/qq_39787102/article/details/128278212)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [SV线程内部通信](https://blog.csdn.net/haojie_duan/article/details/108053358)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值