Basys3 FPGA 3-8译码器开发及应用

该实验详细介绍了如何在Vivado 2014.2环境下,利用Verilog HDL开发并实现3-8译码器。从创建新项目到设置工程参数,再到编写3-8译码器代码和约束文件,最后通过模拟和硬件编程验证设计。实验旨在熟悉Verilog语法和Vivado工具的使用。
摘要由CSDN通过智能技术生成

实验3  3-8译码器开发及应用

实验目的:

1 学习 Verilog HDL 基本语法

2 巩固 Vivado 2014.2 环境下的 Verilog HDL 编程设计的基础。

实验设备: 

XILINX BASYS3

实验步骤:

1 打开vivado。

2 点create new project 进入新建工程向导


3 输入project名称,并选择存储地址,注意路径中不要有空格。


4 选择创建的文件类型为 RTL Project,设置编程语言和仿真语言为verilog 。不添加IP。不添加约束文件。


5 添加资源,目标语言和模拟语言选择verilog,然后点击next(3次)。

6 可以在filter中选择如下图选项来缩小查找范围,也可以直接在search里搜索“xc7a35tcpg236-1“,最后选择“xc7a35tcpg236-1”,点击next。


7 到达New Project Summary页面,点击finish。


8点击add sources


9 选择Add or Create Design Sou

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值