quartus中编译时出现Warning (18236)的解决方法

文章讲述了在使用Quartus软件编译Verilog程序时遇到关于处理器数量未指定的警告,提示可能引发共享计算机性能问题。解决方法是通过修改QSF文件中的NUM_PARALLEL_PROCESSORS全局设置。
摘要由CSDN通过智能技术生成

一、问题

quartus软件使用Verilog编写按键指示灯程序,综合编译时出现:

Warning (18236): Number of processors has not been specified which may cause overloading on shared machines.  Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.

二、原因

中文翻译过来大概的意思是:未指定处理器数量,这可能导致共享计算机上的过载。将QSF中的全局赋值NUM_PARALLEL_PROCESSORS设置为适当的值,以获得最佳性能。

三、解决方法

quartus中打开tools,选择options,然后选择prosessing

如果还有问题,用下面方法。
打开该工程的qsf文件:在任意一行下面填上

set_global_assignment -name NUM_PARALLEL_PROCESSORS 4

问题解决,编译时不再报该错误

  • 5
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值