FPGA编译综合出现 Warning (10230): Verilog HDL assignment warning at key_led.v(17): truncated value w

问题、

FPGA使用verilog HDL 语言编写按键指示灯时,编译出现 Warning (10230): Verilog HDL assignment warning at key_led.v(17): truncated value w  

原因:cnt<=cnt+1中的1没有指定位宽,系统默认32位,浪费资源

解决方法:指导位宽cnt<=cnt+1‘b1

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值