Windows安装数字芯片UVM验证环境开发(使用questasim_10.6c 搭配Win-10 64bit) 以及结合Gitbash和Makefile的使用

1.软件安装

(1)下载安装questasim_10.6c;

questasim10.6c下载地址:

链接:https://pan.baidu.com/s/1Dl_X2lVWv8gz9s_i0KPj2w 提取码:qd1h

安装:关闭win10杀毒软件和防火墙,双击安装包中questasim-win64-10.6c.exe进行安装,安装路径自选,安装路径最好不要有中文字体,一路默认安装完即可,安装完不要打开软件,先进性破解;

(2)破解说明:

  • 复制和此文档同一压缩包内的'license.dat'、'MakeLic.bat'、'MentorKG.exe'、'patch_dll.bat' 到 安装目录里的win64文件夹,(举例:本人安装在C:/questasim64_10.6c,所以复制到文件夹C:/questasim64_10.6c/win64);(用户自己的的软件安装路径win64文件夹下)

  • 找到C:/questasim64_10.6c/win64中的mgls64.dll,去掉该文件只读属性;

  • 双击运行第一步中复制到安装目录的win64文件

  • 3
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值