UVM实战windows下运行

        本来准备用VCS搭一个,但是电脑一开虚拟机就有点卡,就先用windows跑跑看,我参考UVM-01 win10环境下利用questasim仿真《UVM实战》代码这篇文章,写的很详细。

首先电脑上安装破解questasim,然后下载UVM实战书的代码。

(1)复制ch2->section2.2->2.2.3的代码以及ch2->dut的dut.sv到一个文件夹下面。

 也就是图上的三个sv文件。

(2)编写一个do文件

set UVM_HOME D:/Software/QuestaSim/verilog_src/uvm-1.1d

set UVM_DPI_HOME D:/Software/QuestaSim/uvm-1.1d/win64

set WORK_HOME D:/Desktop/IC_DUT/UVM_REAL_JUAN1/lab/test

vlib work
vlog +incdir+$UVM_HOME/src  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/dut.sv  top_tb.sv  
vsim -novopt -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top_tb
run -all

其中 UVM_HOME以及 UVM_DPI_HOME为安装questasim所在的位置下面找;

WORK_HOME是工作目录,定位当前的test文件夹下面;

 vlib work:将库文件编译到work中

 vlog命令:启动UVM库编译工作目录的下的源文件

 vsim命令:启动仿真进程

  run -all:开始仿真

(3)启动questaim,新建工程,这里建议工程新建在test文件夹下面;

直接执行  do  sim.do 跑代码

 (4)执行完成后跳出是否退出,记得点否,不然questasim就关闭了。

 (5)观察结果

补充:

跑后面代码有的需要UVM_TESTNAME,不注意会报错如下:

 这是因为没有指定UVM_TESTNAME,参考下面这篇文章数字09 modelsim中怎么仿真《UVM实战》中的例程_影子才是本体的博客-CSDN博客_uvm例程

可以分为两种情况

(1)如果代码里是

initial begin
   run_test();
end

修改sim.do文件,补充+UVM_TESTNAME=my_case0

 (2)如果代码里面为

initial begin
   run_test(“my_case0”);
end

不用修改sim.do文件。

所以主要点就在写do文件上,这个要根据具体的情况去修改相应的文件。

之后有时间再看看怎么用VCS跑。

运行UVM实战代码,首先需要确保您的电脑上已经安装了合适的仿真工具和UVM库。以下是一些运行UVM实战代码的基本步骤: 1. 安装仿真工具:根据您的需求选择并安装合适的仿真工具,例如Cadence Incisive、Mentor Graphics Questa、Synopsys VCS等。确保您已经了解并掌握了该仿真工具的使用方法和基本命令。 2. 下载UVM库:访问Accellera Systems Initiative的官方网站或其他可信来源,下载UVM库的稳定版本。解压缩并将UVM库的路径设置到您的环境变量中,以便仿真工具可以找到它。 3. 创建仿真环境:在UVM实战代码的文件夹中创建一个仿真环境。仿真环境是实现虚拟测试平台的主要组成部分,它包括UVM结构、组件、测试用例、配置等。 4. 编译:使用仿真工具提供的命令编译UVM实战代码。根据仿真工具的不同,编译命令可能会有所不同,一般是使用工具特定的编译命令或脚本。 5. 运行仿真:执行仿真命令以启动仿真过程。仿真命令通常包括指定仿真文件、测试用例、仿真参数等。可以通过仿真工具的命令行界面或脚本来执行仿真。 6. 查看仿真结果:仿真运行完成后,可以查看仿真结果和报告。仿真结果包括仿真波形、日志、覆盖率等。根据仿真工具的不同,可以使用相应的工具或命令来查看这些结果。 以上是基本的UVM实战代码运行过程。在实际运行过程中,可能还需要进行调试、修改代码、优化性能等操作,根据具体需求进行相应的处理。另外,还可以参考UVM实战代码的文档和教程,以更深入地了解如何使用和优化UVM实战代码
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

jun_luo_yu

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值