关于windows环境下,Questasim编译UVM代码问题

楼主在跑路ic验证的时候,由于刚入门没有人带,网上关于Questasim的资料貌似不是很多,也不是很好找,在配置环境中遇到了很多问题。找了很久,总结了一些编译报错“can not find include xxxxxxx”这类的解决办法,在这里大概说一下。

楼主使用的是10.6c版本

一、直接利用命令行编译

依次输入以下代码:

set UVM_HOME D:/software_sim/questasim/verilog_src/uvm-1.1d

set WORK_HOME D:/software_sim/uvm_example

vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/().sv

vsim -novopt -c -sv_lib D:/software_sim/questasim/uvm-1.1d/win64/uvm_dpi  work.() -classdebug +UVM_TESTNAME=

第一行是源文件路径,根据自己文件安装位置找到对应文件夹即可,楼主这里用的是uvm-1.1d版本的库,根据自己需要可以去uvm官方下载库文件。

第二行是自己创建project时,选择的文件夹,根据自己的情况更改即可。

第三行是编译,()中是需要自己补全自己当前需要编译的文件名,记得把括号删掉

第四行是仿真,()同样为仿真文件名

-classdebug是方便调试,加入的仿真选项,好处是在仿真后,view的class browser中,class tree可以清晰的看到各个类的继承关系

+UVM_TESTNAME=() 需要根据代码中不同的test,来决定仿真运行哪一个test,进行多个test时候,不需要多次修改源文件。

Questasim自带的编辑器不太好,建议用notepad,在vism中输入以下代码即可设置notepad。

 proc external_editor {filename linenumber} { exec"D:/software_sim/Notepad++/notepad++.exe"  $filename }  //设置外部编译器 exec为文件路径

set PrefSource(altEditor) external_editor

unset PrefSource(altEditor)  //恢复默认

二、在编译设置中,添加源文件

首先要关闭当先运行的project,然后点击compile选项

选中compile options,打开对话框 

选中verilog &sv选项,其中 other Verilog options是需要额外加入的。可以直接点击 indude directory...选项,将缺少的include文件所在的文件夹选择即可。建议多添加一些文件,防止编译时候发生明明添加了,但是还是显示没有的情况。或者可以复制 如下代码,记得自行修改你自己安装的Questasim的路径,在Questasim里面的路径应该是一致的。楼主这里需要用到ovm库就多添加了一部分,建议使用的时候,发现报错缺少什么,就去文件夹里面找。添加文件夹一定要添加到源文件当前所在文件夹,为了省事直接选中最大的文件夹可能还是会报错。

+incdir+D:/software_sim/questasim/verilog_src/uvm-1.1d
+incdir+D:/software_sim/questasim/ovm-2.1.2
+incdir+D:/software_sim/questasim/uvm-1.1d
+incdir+D:/software_sim/questasim/verilog_src/ovm-2.1.2
+incdir+D:/software_sim/questasim/verilog_src/uvm-1.1d/src
+incdir+D:/software_sim/questasim/verilog_src/ovm-2.1.2/src
+incdir+D:/software_sim/questasim/ovm-2.1.2/win64
+incdir+D:/software_sim/questasim/uvm-1.1d/win64

如果还是编译有问题,关闭软件重启试一试即可。仿真还是建议用命令行,很好用的。

  • 0
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值