FPGA片内实例化ROM

本文介绍了如何在FPGA设计中创建并实例化一个ROM。首先,通过编写.mif文件来定义ROM的宽度(WIDTH=8位)和深度(DEPTH=32位),并填充数据。接着,在Quartus2中生成ROM的IP核,并在设计中实例化该ROM,修改模板文件rom_controller_inst.v中的接口名称以匹配设计需求。
摘要由CSDN通过智能技术生成
  1. 创建一个ROM初始化内容对应的文件->>*.mif
    用文本编译器打开*.mif文件。
    具体内容如下:


    • (注:符号:“- -”为注释符号,在其行后面的字符为注释内容。
    • WIDTH=8; //ROM表示的位宽。
    • DEPTH=32;//数据深度。
    • ADDRESS_RADIX=UNS; //地址总线采用十进制表示。
    • DATA_RADIX=HEX; //数据总线采用十六进制表示。
    • CONTENT BEGIN 和 GND之间是ROM的具体数据内容。

WIDTH=8;
DEPTH=32;

ADDRESS_RADIX=UNS;
DATA_RADIX=HEX;

CONTENT BEGIN
        0       :   11;
        1       :   22;
        2       :   33;
        3       :   44;
        4       :   55;
        5       :   66;
        6       :   77;
        8       :   88;
        9       :   99;
        10  :   a1;
        11  :   a2;
        12  :   a3;
        13  :   a4;
        14  : 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值