sv中覆盖率

一:代码覆盖率
1 行覆盖率(line coverage)
2 翻转覆盖率 (toggle coverage)
3 条件覆盖率 (condition coverage)
4 分支覆盖率 (branch coverage)
5 状态机覆盖率 (fsm coverage)

VCS中收集为 -cm line | cond | fsm | tgl | branch | assert

二:功能覆盖率
如果是在一个类中定义覆盖组,首先是先定义,其后在new函数中实例化,最后是调用sample()函数采样,也可以使用事件触发覆盖组。

例:1
covergroup name;
em1: coverpoint name1{
bins key1 = {2’b00};
bins key2 = {2’b01};
}
endgroup
还可以使用cross来对多个采样点进行交叉覆盖

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值