SV基础知识6---覆盖率收集

目录

1覆盖率的类型

概述

代码覆盖率

断言覆盖率

漏洞率曲线

功能覆盖率

2 功能覆盖率策略  

3 覆盖组Covergroup

covergroup概述

covergroup的采样触发

4 数据采样 

概述

bin的创建和应用

命名coverpoint和bin

条件覆盖率

翻转覆盖率

wildcard覆盖率

忽略的bin和非法的bin

交叉覆盖率

更精细的交叉覆盖率指定

5 覆盖选项 

 6 数据分析


1覆盖率的类型

(概述   覆盖率反馈回路  代码覆盖率  断言覆盖率  漏洞率曲线  功能覆盖率)

概述

  • 覆盖率是衡量验证完备性的一个通用词语,用来衡量设计中已经被测部分和未测部分的比例,通常被定义为已达到所需验证部分的百分比。
  • 覆盖率工具会在仿真过程中收集信息,进行处理得到覆盖率报告,再根据报告找出覆盖之外的盲区修改现有测试用例或者创建新的测试用例来填补这些盲区,这个过程可以一直迭代进行,直到达到目标覆盖率

代码覆盖率

代码覆盖率用于衡量你执行了多少设计代码,关注点在设计代码上,而不是测试平台。

仿真工具会自动通过分析源代码和增加隐藏代码来自动完成代码覆盖率统计。代码覆盖率100%,并不意味着验证工作已经完成,它只是验证工作完成的必要条件。

主要包含

  • 行覆盖率:多少行代码被执行过
  • 路径覆盖率:在穿过代码和表达式的路径中有哪些已经被执行过
  • 翻转覆盖率:哪些单位比特变量的值为0或1
  • 状态机覆盖率:状态机哪些状态和状态转换已经被访问过

断言覆盖率

  • 断言是一种声明性的代码,用于检查RTL代码中的信号之的的关系。最常用语查找错误,例如两个信号是否应该互斥
  • 断言可以使用过程性的代码或者使用 Systemverilog Assertions
  • 断言可以检查信号的值或者设计的状态
  • cover property语句来测量这些关系的信号值是否发生

漏洞率曲线

在一个项目中,应保持追踪每周的漏洞数量。每次漏洞下降时,就应寻找不同的办法取测试可能的边界情况。

在临近流片时,漏洞率会下降,甚至为0,但漏洞率如果出现意外情况,可能预示着潜在的问题

功能覆盖率

验证的目的就是确保设计在实际环境中的行为正确,功能覆盖率和功能设计示意图是紧密相连的,有时也被称为“描述覆盖率”,而代码覆盖率则是衡量设计的实现情况。

某个功能在设计中遗漏,代码覆盖率是不能发现这个错误,但功能覆盖率可以发现。

通过分析覆盖率数据就可以决定如何修改递归测试集,

  • 如果覆盖率稳步增长,那么就添加新种子或者加长测试时间;
  • 如果覆盖率增速放缓,那么添加额外的约束来产生更多激励;
  • 如果覆盖率停止增长,然而设计某些测试点没有被覆盖到(覆盖率不足100%),那么就创建新的测试;
  • 如果覆盖率为100%但依然有新的设计漏洞,那么覆盖率可能没有覆盖到设计中某些设计功能区域;

2 功能覆盖率策略  

在动手写测试代码前,我们需要预先弄清楚相关设计的关键特性,边界情形和可能的故障模式。不要只考虑数据数值等内容,相反地,要考虑到设计所包含的信息。

收集信息而非数据

只测量需要的内容

验证的完备性

  • 完备的验证测量结果和漏洞率增长曲线,可以帮助确认设计是否被完整地验证过
  • 如果代码覆盖率低但功能覆盖率高
  • 18
    点赞
  • 157
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值