Verilog新手上路——交叉开关

电路描述:

  • 实际上是MUX的组合体。
  • 通常用在复杂一些的信号选通的场合。
  • 每一个输出端都有对应的选通信号。
  • 用选通信号控制输出端选通到哪个输入端。
  • 当输入和输出的端口增加时,该电路会消耗非常多的电路资源。
  • 电路在不同应用时的变化。
    - 数据信号的宽度。
    - 选通逻辑的变化,选择信号为0或1时选通哪个通道。

代码

module csc44(
  IN0       ,   // input 1
  IN1       ,   // input 2
  IN2       ,   // input 1
  IN3       ,   // input 1
  SEL0      ,   // select the output0 source 
  SEL1      ,   // select the output1 source 
  SEL2      ,   // select the output2 source 
  SEL3      ,   // select the output3 source 
  OUT0      ,   // output data 0
  OUT1      ,   // output data 1
  OUT2      ,   // output data 2
  OUT3      );  // output data 3
parameter WL = 16;
input [WL-1:0] IN0, IN1,IN2,IN3;
input [1:0]    SEL0, SEL1,SEL2,SEL3;
output[WL-1:0] OUT0, OUT1,OUT2,OUT3;

reg   [WL-1:0] OUT0, OUT1,OUT2,OUT3;
// get the OUT0
always @ (IN0 or IN1 or IN2 or IN3 or SEL0) begin
  if(SEL0==2'b00)
    OUT0 = IN0;
  else if(SEL0==2'b01)
    OUT0 = IN1;
  else if(SEL0==2'b10)
    OUT0 = IN2;
  else if(SEL0==2'b11)
    OUT0 = IN3;
end
// get the OUT1
always @ (IN0 or IN1 or IN2 or IN3 or SEL1) begin
  if(SEL1==2'b00)
    OUT1 = IN0;
  else if(SEL1==2'b01)
    OUT1 = IN1;
  else if(SEL1==2'b10)
    OUT1 = IN2;
  else if(SEL1==2'b11)
    OUT1 = IN3;
end
// get the OUT2
always @ (IN0 or IN1 or IN2 or IN3 or SEL2) begin
  if(SEL2==2'b00)
    OUT2 = IN0;
  else if(SEL2==2'b01)
    OUT2 = IN1;
  else if(SEL2==2'b10)
    OUT2 = IN2;
  else if(SEL2==2'b11)
    OUT2 = IN3;
end
// get the OUT3
always @ (IN0 or IN1 or IN2 or IN3 or SEL3) begin
  if(SEL3==2'b00)
    OUT3 = IN0;
  else if(SEL3==2'b01)
    OUT3 = IN1;
  else if(SEL3==2'b10)
    OUT3 = IN2;
  else if(SEL3==2'b11)
    OUT3 = IN3;
end
endmodule
// endmodule top

RTLViewer

在这里插入图片描述

波形仿真

在这里插入图片描述

序列检测器是一种电路,用于检测输入信号序列是否符合某种特定的模式。在Verilog HDL语言中,可以使用状态机来实现序列检测器。以下是一个简单的序列检测器的Verilog HDL代码示例: ```verilog module sequence_detector( input clk, // 时钟信号 input reset, // 复位信号 input data, // 输入数据信号 output reg match // 匹配信号 ); // 定义状态 typedef enum logic [1:0] { STATE_IDLE, // 空闲状态 STATE_S1, // 状态1 STATE_S2, // 状态2 STATE_S3 // 状态3 } state_t; // 定义状态转移表 parameter [3:0] TRANS_TABLE [0:3] = '{4'b0000, 4'b0001, 4'b0010, 4'b0100}; // 定义当前状态变量和下一个状态变量 reg [1:0] state, next_state; // 初始化状态为IDLE initial begin state = STATE_IDLE; end // 定义状态机逻辑 always @ (posedge clk, posedge reset) begin if (reset) begin state <= STATE_IDLE; end else begin state <= next_state; end end // 定义状态转移逻辑 always @ (*) begin case (state) STATE_IDLE: begin if (data) begin next_state = STATE_S1; end else begin next_state = STATE_IDLE; end end STATE_S1: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S2; end end STATE_S2: begin if (!data) begin next_state = STATE_IDLE; end else if (data) begin next_state = STATE_S3; end end STATE_S3: begin if (!data) begin next_state = STATE_IDLE; match = 1; end else begin next_state = STATE_S3; end end endcase end endmodule ``` 这个序列检测器可以检测输入数据信号是否符合“1101”这个模式。输入数据信号通过data端口输入,匹配结果通过match端口输出。当输入数据信号符合“1101”这个模式时,match信号会被置为1。如果输入数据信号不符合模式,match信号会保持为0。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值