小梅哥Xilinx ZYNQ学习笔记2——38译码器

目录

一、功能介绍

1.功能描述

2.真值表

3.功能框图

二、代码编写

1.设计文件

2.激励文件

3.仿真图

三、总结

四、课后作业

1.83优先编码器

2.83优先编码器门电路

3.设计文件

4.激励文件

5.仿真图

一、功能介绍

1.功能描述

       译码器(Decoder)是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特定的对象(如逻辑电平等),功能与编码器相反。译码器一般分为通用译码器和数字显示译码器两大类。本设计的是通用译码器。三八译码器,即是 3 种输入状态翻译成 8 种输出状态。

2.真值表

3.功能框图

二、代码编写

1.设计文件

module decoder_3_8(
    A0,
    A1,
    A2,
    out
);
    input A0;//输入端口
    input A1;//输入端口
    input A2;/
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值