基于脉动阵列实现矩阵卷积(FPGA)

基于脉动阵列实现矩阵卷积(FPGA)

摸了很久🐟,才想起来还有一篇脉动阵列实现简单矩阵卷积的东西没写。今天就来通过google的tpu结构,来谈一下通过脉动阵列实现矩阵卷积,并且来写一个简单的3x3矩阵的2x2卷积核的卷积。

脉动阵列

脉动阵列的基本原理在之前的一篇已经写的很清楚了。可以翻到前面看一下脉动阵列的加速矩阵乘法的文章。这里就不赘述了。

脉动阵列实现矩阵卷积

其实这个概念已经是比较成熟了的东西了,谷歌的TPU架构让这个老东西回到大众视野里(虽然已经是18年的东西了),当时去年Google也出了一款tpu的芯片,还是值得期待一下的。

关于卷积大家应该很直观就能想象到下面这副图:

在这里插入图片描述

​ 看动态图是比较简单的,但是实际上硬件执行卷积行为是需要花费额外的时钟周期和资源去做这件事情,不断进行乘加、累加操作,占用资源比较大。Google的工程师们想到可以通过脉动阵列来解决这个问题,使得数据重复读取的次数降低,让数据流动起来。

​ 实现卷积阵列的原理,这里可以参考

  • 7
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
稀疏矩阵卷积FPGA是一种用于卷积神经网络(CNN)加速的硬件设计方案。这种方案针对传统FPGA设计未能有效利用稀疏性的问题,提出了两种改进的CNN计算优化方案。首先,将卷积操作转化为矩阵运算,并使用线性脉动阵列进行计算。其次,通过存储特征图和权重数组在寄存器中,并使用三元运算符实现卷积矩阵乘法。这种设计方案能够有效降低带宽和能量消耗,并减少对存储单元的需求。此外,该方案还避免了对FPGA中可用ALM的过度使用,以及使用PIO端口将HPS馈入权重的需求。因此,稀疏矩阵卷积FPGA是一种优化的硬件设计方案,可以提高CNN的计算效率和性能。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [基于线性脉动阵列卷积神经网络计算优化与性能分析](https://download.csdn.net/download/weixin_38535812/14865589)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [基于FPGA的CNN卷积神经网络加速器](https://blog.csdn.net/capa_shi/article/details/118920526)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值