基于脉动阵列的矩阵乘法加速(FPGA)

本文介绍了基于脉动阵列的矩阵乘法加速在FPGA上的实现。通过脉动阵列结构,每个处理单元(PE)包含乘法器和累加器,实现了矩阵乘法的高效计算。在仿真中,经过9个周期完成了矩阵乘法运算,展示了该方法的潜力,同时指出了优化和降低资源开销的可能性。
摘要由CSDN通过智能技术生成

基于脉动阵列的矩阵乘法加速(FPGA)

​ 原本准备做FADDEV求逆矩阵算法的FPGA实现,其中有一个概念挺吸引人,就是:脉动阵列。

1、脉动阵列

​ 先来讲讲脉动阵列的概念,脉动阵列其实是一种处理单元的结构。数据同步流过,能够减小降低重复访问,调高处理效率和资源消耗。

​ 其实这是个比较旧的概念了,1982就有学者提出了。18年谷歌提出的TPU(Tensor Processing Unit)让这个概念回到大众视野,通过脉动阵列可以设计完成矩阵乘法和卷积的操作。今天先讲讲矩阵乘法的实现。

2、脉动阵列结构

​ 我们直接上图来讲解脉动阵列的结构。图源来自(§4脉动阵列处理机 - 百度文库 (baidu.com)

​ 先设两个进行叉乘的矩阵

在这里插入图片描述

这里就不讲矩阵的乘法怎么运算了,基本的线性代数知识。

在这里插入图片描述

​ 上图即为脉动阵列进行矩阵乘法时的布局。这里讲一下,图中每一个处

评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值