Matlab与FPGA通过uart通信

      最近想做一个基于FPGA的DDS信号生成器,通过matlab进行仿真将数据传入FPGA,FPGA处理后存入ram中,再编写一个DDS模块将数字信息输入DA即可输出模拟信号。

     首先是将matlab数据传入fpga,采用uart串口通信的方式。要实现的要求是(1) matlab生成数据并传入fpga(2)fpga接收数据并再传给matlab。

matlab代码如下

seriallist %查找可用端口
s2 = serialport("COM14",9600)%我的端口是COM14,并设置波特率
write(s2,5:9,"uint8")%将5:9这5个数据发送给fpga
read(s2,5,"uint8")%接受fpga返回的数据,设置接受5个数据,如果在规定时间内没接收到5个数据,输出已经接收到的数据
clear s2;

FPGA的代码教多,这里不做展示。

测试没有问题

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值