自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 资源 (1)
  • 收藏
  • 关注

原创 matlab与FPGA交互

clcclear allf1=1*10^6;fs=50*10^6;L=2000;t=0:L-1;t=t/fs;da=sin(2*pi*f1*t);da=floor(da*127);N=8;fid=fopen('d:\m\data.txt','w');fid1=fopen('d:\m\data1.txt','w');fid2=fopen('d:\m\data2.txt','w');fid3=fopen('d:\m\data3.txt','w');for i=1:4:length

2022-04-30 20:51:10 716

原创 fsk(matlab)33

%E6_1_FskMod.mps=1*10^6; %码速率为1MHzN=1000; %数据码元个数Fs=32*10^6; %采样速率为32MHzfc=6*10^6; %载波频率为6MHzLen=N*Fs/ps;%仿真调制指数为0.5时的FSK信号m=1.5; %调制指数freqsep=m*ps; %FSK信号中,两个频率之间的间隔nsamp=Fs/ps; %每个码元的采样点数x = randint(N,1,2); % 产生随机数据做为数据码元ContD...

2022-04-26 00:13:54 1998

原创 fft(matlab)3

clcclear allfs=1*10^6;f=50*10^3;N=260;L=1000;t=0:L-1;t=t/fs;s=sin(2*pi*f*t);a=abs((fft(s,N)));subplot(211);plot(t(1:100)*1000,s(1:100));xlabel('时间(ms)');ylabel('幅度(v)');subplot(212)n=0:N-1;stem(n,a);xlabel('FFT的位置');ylabel('FFT变换的模');

2022-04-26 00:12:45 1569

原创 fir(matlab)

1.fs=25*10^6;fc=2*10^6;N=63;wn=fc*2/fs;b1=fir1(N-1,wn);m1=20*log10(abs(fft(b1)));x=[0:(fs/length(m1)):fs/2];figure(1)subplot(211)plot(b1);xlabel('n');ylabel('h(n)');subplot(212)plot(x,m1(1:length(x)));c=b1/max(abs(b1));d=round(c*511);m2=

2022-04-26 00:02:14 1786

原创 fft(matlab)2

1.Fs=1000;N=1000;n=0:N-1;t=n/Fs;x=sin(2*pi*50*t)+sin(2*pi*120*t)+rand(1,N);y=abs(fft(x,N));f=n*Fs/N;subplot(211);plot(f,y);2.fs=1*10^6;t=0:1/fs:255/fs;f1=200*10^3;f2=400*10^3;s1=sin(2*pi*f1*t);s2=sin(2*pi*f2*t);s=s1+s2;N=256;a=(

2022-04-25 23:57:19 479

原创 fft(matlab)1

N=512; %长度f1=100; %频率f2=105; Fs=400; %采样频率t=0:1/Fs:1/Fs*(N-1); %产生时间序列s=sin(2*pi*f1*t)+sin(2*pi*f2*t); %叠加信号f=fft(s,N); %求频谱f=20*log(abs(f))/log(10); %换成dBW单位ft=0:(Fs/N):Fs/2; %转换以hZ单位为横坐标f=f(1:length(ft))...

2022-04-25 23:47:07 286

原创 fsk(matlab)

clcclear all;fs=8*10^6;f1=1*10^6; %f2=2.5*10^6;ps=250*10^3;N=1000;t=0:1/fs:999/fs;s1=cos(2*pi*f1*t);s2=cos(2*pi*f2*t);s=randn(1,N)>0;for i=1:N if s(i)==0 d(i)=0; else d(i)=1; end endda=rectpulse(d,fs/ps);mt=...

2022-04-25 23:44:13 841

原创 matlab与FPGA的交互程序

1.比如现在我要在matlab上产生一个信号用于FPGA的仿真输入,所以需要将这个信号写到txt文本中去。代码如下clcclear allf1=1*10^6;fs=50*10^6;L=2000;t=0:L-1;t=t/fs;da=sin(2*pi*f1*t);da=floor(da*127); //量化8bitN=8;fid=fopen('d:\data.txt','w');for i=1:length(da) da1=dec2bin(da(i)+(da(i)&lt

2021-12-20 21:41:41 2201

原创 (一)深入理解采样定理

1. 奈奎斯特采样定理是连接连续和离散的桥梁。现实世界中接触到的信号,比如电信号,光信号,声音信号等都是随时间连续变化的,称为连续信号。但对于计算机来说如要处理连续信号,这是无能为力的,必须要将连续信号转化为离散信号,这个过程就叫采样。采样后,计算机得到是离散的点,用这些离散的点代替连续的线必然有误差,那么怎样根据采样还原出原来的信号呢?奈奎斯特采样定理就出现了。奈奎斯特采样定理的内容是采样频率必须为大于等于2倍的最大频率分量,才能还原出原来的信号,它又叫低通采样定理。 那么...

2021-08-06 09:46:17 5126

原创 (三)分频器电路设计

分频是fpga设计中经常会遇到的问题,通常如果我们要得到指定的频率的时钟,通过一个计数器就能搞定。比如我的系统时钟为50m,我需要得到一个9600hz的时钟,则计数器需要计数到2604后时钟翻转。计算方法是:(系统时钟/指定时钟)/2; 常见的偶数分频和奇数分频怎么实现呢? (1)偶数分频:比较简单,也是通过计数器完成,分频比为N,时钟翻转条件为(N/2)-1。 (2)奇数分频:稍微复杂一些,分两种情况。一种不要求占空比,设置两次翻转条...

2021-07-27 20:54:30 1513

原创 (一)亚稳态

在数字电路中组合逻辑电路可能会产生竞争与冒险,时序电路有产生亚稳态的风险。在时序电路中,对于边沿触发的触发器来说,其有两个状态即高和低。如果在数据传输中不满足触发器的set up time和 hold up time(ps:触发器的建立时间和保持时间在时钟上升沿左右定义了一个时间窗,如果触发器数据输入端口上的数据在这个时间窗内发生了变化,那么就会产生时序违规。当建立时间和保持时间要求被违反了,此时触发器内部逻辑状态也许是高电平状态也许是低电平状态,状态不确定,从而导致数字部件逻辑混乱)。复位...

2021-07-26 21:53:03 572

原创 (三) 按键消抖电路设计

由于普通物理按键存在反作用弹簧,因此当按下或者松开时均会产生额外的物理抖动,物理抖动便会产生电平的抖动。在按键从按下再到松开的过程中,其电平变化如图2.2.1所示,上为理想波形输出,下为实际波形输出。 图2.2.1 按键抖动因此,对于转变中间过程存在的跳变,我们需要将其去除,一般来说,噪声仅存在与一段时间内,如20ms。当检测到跳变沿后的开始进行计时,在计数时间内如果出现跳变则重新开始及时,知道在一定时间内没有检测到跳变沿为止 ,则认为键入的数据已经达到稳定的状...

2021-07-12 17:32:17 2754

原创 (二)脉冲信号检测

要求:检测连续(连续的意思是间隔不超过150us到230us)两个80us~120us的方波信号方法:利用上一文的边沿检测方法,当检测到满足条件的脉冲时产生一个标志信号,最后再计算他们的间隔是否满足。1.检测模块代码如下:module hyjc( input clk, input clk_3m125, //3.125mhz input data, input rstn, output reg dout_fl

2021-07-12 17:15:13 5253

原创 (一)边沿检测

有时候我们会遇到需要我们检测信号上升沿和下降沿的问题。检测跳变沿需要将信号经过一级触发器,与原始信号产生时钟差这样就能依据逻辑关系检测出上升沿和下降沿。代码如下:module byjc( input clk, input din, output din_d, output rising, output failing, output double ); reg d1; always@(posedge clk) d1<

2021-07-12 09:32:20 209

原创 FPGA学习前言

本人是一名FPGA的初学者,开设此账号的原因,是为了复习和重温我的FPGA的学习历程。由于现在我正在都大三,下学期即将步入社会从事有关FPGA的工作,因此也是为即将为工作做准备。由于此账号是专门记录我个人的学习的经历给出的一些结论或者见解难免有点不专业也有可能出现错误(由于本人也是fpga入门不久的新手),如有错误望及时指正共同交流。由于我今后的实例都是以学生,新手的角度来分析,如有想要入门的同学的话,我相信我们还是有很多共同语言的。如果我的学习经历对你有帮助,我也会感到非常开心。 那么新手fpg...

2021-07-11 11:05:31 190

基于串口控制的秒表电路设计

fpga入门训练项目

2021-07-12

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除