计算机组成原理实验报告 存储器实验--FPGA中RAM读写实验

一. 实验目的

1、了解FPGA中RAM模块ram的功能
2、掌握RAM的参数设置和使用方法
3、掌握RAM作为随机存储器RAM的工作特性和读写方法

二. 实验设备及环境

装有 Xilinx Vivado 的 Windows 7计算机,FPGA。

三. 实验任务

  1. 学习存储器的设计及原理,理解RAM 读写时序,同步和异步的区别。
  2. 学习 ISE工具中调用库 IP 的方法,通过调用xilinx库IP实例化一块RAM,实例化的 RAM 选择为同步RAM。
  3. 本次实验的 RAM 建议设置为两个端口, 一个端口用来正常的读写, 另一个端口作为调试端口,只使用读功能用于观察存储器内部数据。
  4. 将以上设计作为一个单独的模块,通过编写代码设计一个外围模块去调用该模块。外围模块中需调用封装好的 LCD 触摸屏模块,显示RAM的正常端口的地址、待写入的数据和读出的数据,显示调试端口的地址和读出的数据,并需要利用触摸功能输入正常端口的地址和写数据,以及调试端口的地址。
  5. 对代码进行综合布局布线下载到实验箱里 FPGA 板上,进行上板验证。

四. 实验步骤

这里给出实验源码,具体实验步骤请看书

data_ram_display.v文件内容如下:
`timescale 1
  • 1
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

m明月Java3

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值