自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 收藏
  • 关注

原创 解决apt-install等命令下载速度过慢的问题

最近在使用 apt-install 命令安装一些包时发现巨卡顿,一个原因肯定是自己家的网速有点慢了,当然最重要的原因还是 apt 源是国外的。网上看到了许多博主的换源过程,但发现他们的操作稍微有点复杂,而且需要修改 source 源文件,为了防止手残导致源文件出错,我直接使用 echo (输出命令)在终端修改源文件,添加源。依次输入下面几行命令就可以成功将 apt 的源更换为国内的了,

2022-11-18 11:34:28 3723 2

原创 解决 git clone 时遇到的一些问题

本文记录的是解决 git clone 时遇到的一些问题

2022-10-17 16:19:23 2259 2

原创 ModelBox AI 开发案例一 ——读取摄像头

本文记录的是 ModelBox 开发的第一个案例——读取摄像头,解码出视频帧,再输出原始画面到本地屏幕。读者将了解到 ModelBox 应用开发的基本流程。

2022-10-15 11:14:31 815

原创 ModelBox 简介以及 Windows 开发环境搭建

ModelBox 是一套专门为AI开发者提供的易于使用,高效,高扩展的AI应用开发框架,它可以帮助AI开发者快速完成从模型文件到AI推理应用的开发和上线工作,降低AI算法落地门槛,同时带来AI应用的高稳定性和极致性能。

2022-10-13 20:30:11 1691

原创 搭建 Max78000 FTHR 板卡的开发环境

本文是记录的是我搭建 Max78000 FTHR板卡的开发环境,搭建工程参照了官方文档以及一位大佬的文章。

2022-10-08 22:20:41 1130

原创 解决pip下载速度过慢的问题

在做项目的时候,我们会发现单纯的使用 pip 安装插件或模块,下载速度慢的可怜,安装 torch 用了半个多小时, 所以就想着去解决这个问题,提升一下效率。

2022-10-07 17:31:21 35397 4

原创 Windows10 安装 WSL2

本文记录的是我们在 Windows10 中安装 WSL2,并使用 Vscode 链接 WSL 进行开发。

2022-10-01 21:25:30 6263 2

原创 爬虫学习——Re解析

在本文中我们将学习 re 解析,从了解数据解析开始逐步深入。re 解析其实就是用正则表达式匹配我们想要的内容,我们要熟练使用正则表达式的相关语法,即元字符、量词、贪婪匹配与惰性匹配

2022-08-10 19:37:35 628

原创 数学建模学习——线性规划模型学习

在人们的生产实践中,经常会遇到如何利用现有资源来安排生产,以取得最大经济效益的问题。此类问题构成了运筹学的一个重要分支——数学规划,而线性规划(Linear Programming 简记LP)则是数学规划的一个重要分支。自从1947年G.B.Dantzig提出求解线性规划的单纯形方法以来,线性规划在理论上趋向成熟,在实用中日益广泛与深入。特别是在计算机能处理成千上万个约束条件和决策变量的线性规划问题之后,线性规划的适用领域更为广泛了,已成为现代管理中经常采用的基本方法之一。............

2022-08-09 09:29:41 1597

原创 爬虫学习——Requests案例分析

前面我们初步了解了爬虫的一些相关概念,也编写了一个简单的爬虫程序,但编写的爬虫程序相对而言不是那么的简洁,所以我们今天来学习爬虫中的常用的模块Requests

2022-08-01 10:13:57 613

原创 爬虫学习——Requests入门

前面我们初步了解了爬虫的一些相关概念,也编写了一个简单的爬虫程序,但编写的爬虫程序相对而言不是那么的简洁,所以我们今天来学习爬虫中的常用的模块Requests

2022-07-31 09:42:13 309

原创 爬虫学习——HTTP协议

前面我们初步了解了爬虫的相关概念,以及编写了一个简单的爬虫小程序,也对Web的请求过程进行了剖析,那么接下来我们便学习 HTTP 协议

2022-07-30 18:42:31 455

原创 爬虫学习——Web请求过程

前面我们初步的了解爬虫的一些相关概念,以及自己动手编写了一个简单的爬虫小程序,但我们其实对爬虫的具体运作过程和编写过程还是不太了解,那么接下来我们便学习Web的请求过程

2022-07-30 10:52:47 276

原创 爬虫学习——初步了解爬虫

最近在学习之余迷上了看电视剧,但是想要看的电视剧又不在同一个APP里,全部充会员也不太现实,所以就想着简单入门一下爬虫,爬取自己想要看的电视剧,让自己的暑假过的更多姿多彩一点!首先我们要先清楚爬虫是什么,百度百科中解释为,爬虫是一种按照一定的规则,自动地抓取万维网信息的程序或者脚本。浅显一点讲,爬虫就是自动获取网页内容的程序。 ......

2022-07-29 21:17:01 890

原创 Verilog学习之数据大小端转换设计

今天我们做的是第十道题——使用函数实现数据大小端转换,这道题其实也比较简单,就是逆向输出一个数据,但我们需要知道如何去定义一个函数并合理的调用它。接下来让我们看看如何去写这道题。...

2022-07-10 09:01:57 2214

原创 Verilog学习之三输入数的大小比较设计

今天我们做的是第九道题——使用子模块实现三输入数的大小比较,在这道题中我们需要清楚的知识点是如何去声明一个子模块,并且在主模块中将其实例化。接下来我们开始解这道题

2022-07-09 11:23:08 5705 7

原创 Verilog学习之简化代码设计

今天我们做的是第八道题——使用 generate……for 语句简化代码,在这道题里我们需要注意或者说是学会的是如何使用 generate 循环生成语句,接下来就让我们看如何解这道题。

2022-07-09 10:09:46 619

原创 Verilog学习之求两个数的差值设计

今天我们做的是第七道题——求两个数的差值,其实听名字就可以知道这道题其实特别的简单,只是两个数之间的比较求差而已,所以这道题我们就不具体写思路了,直接附上代码。[

2022-07-08 15:34:56 981

原创 Verilog学习之多功能数据处理器设计

今天我们做的是第六道题——多功能数据处理器,这道题也比较简单,我们可以直接用 if-else 语句进行判断,也可以采用状态机的思想去求解(其实也就是 case 多分支判断语句)。接下来让我们去看看如何解这道题...

2022-07-08 08:23:03 368

原创 Verilog学习之位拆分与运算设计

今天我们做的是第五道题——位拆分与运算,这道题比较简单,我们只需要用到状态机的思想和“+”运算以及数据锁存的问题。接下来就让我们看看如何写这道题。

2022-07-07 19:33:24 2244 1

原创 Verilog学习之移位运算与乘法设计

今天我们做的是第四道题——移位运算与乘法,众所周知,在硬件中进行乘除法运算是比较消耗资源的一种方法,想要在不影响延迟的情况下尽量减少资源消耗,我们必须从硬件的特点上进行设计。接下来便让我们看看如何去解这道题。...

2022-07-07 16:21:24 3479

原创 Verilog学习之奇偶校验设计

今天我们做的是第三道题——奇偶校验。在这道题里,我们需要理解什么是奇偶校验,并且如何去进行奇偶校验,接下来我们便去看看如何写这道题。

2022-07-05 10:26:01 4279 1

原创 Verilog学习之异步复位的串联T触发器设计

在前面我们对 Verilog 的基础语法知识进行了学习,对 Verilog也有了一定的了解,接下来的一段时间我们就开始在牛客网上进行刷题,巩固我们的基础知识,熟悉用 Verilog 去写代码。 今天我们做的是第二道题——异步复位的串联T触发器...

2022-07-04 19:13:12 2287

原创 Verilog学习之四选一多路器设计

在前面我们对 Verilog 的基础语法知识进行了学习,对 Verilog也有了一定的了解,接下来的一段时间我们就开始在牛客网上进行刷题,巩固我们的基础知识,熟悉用 Verilog 去写代码。 今天我们做的是第一道题——四选一多路器。.........

2022-07-04 18:46:13 11122 1

原创 Verilog学习之wire类型与reg类型的区别

在本篇文章中,我粗浅的发表了一些我对 wire 类型和 reg 类型的理解。在我看来,wire 类型(线网类型)表示的是直通,也就是一根线,一头连接的是输入,一头连接的是输出;而 reg 类型(寄存器类型)表示的是存储单元,它会一直保持原有的数据即默认值x(未知状态),直到相应的触发信号产生,输出才会变化。......

2022-07-04 17:36:40 5081 1

原创 Verilog的程序框架

在本节中我们主要学习了Verilog的程序框架,包括有注释的格式、关键词的类型以及程序代码的结构框架,对Verilog代码的编写也有了一定的了解

2022-07-02 19:12:17 1035

原创 Verilog的基础语法

在本节中我们主要学习了Verilog的基础语法知识,包括数字电路中的一些基础知识、Verilog中的三种数据类型以及六种运算符。

2022-07-02 15:32:26 294

基于STM32与机智云的远程控制家居系统

基于STM32与机智云的远程控制家居系统

2023-01-17

机智云代码移植教程,简洁明了

机智云代码移植教程,简洁明了

2023-01-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除