VHDL——异步清除十进制加法计数器

library ieee;
use ieee.std_logic_1164.all;

entity adderT is
    port(clr : in std_logic;
	      clk : in std_logic;
	      cnt : buffer integer range 9 downto 0);
end adderT;

architecture behavior of adderT is
begin
process(clr,clk)
    begin
	 if clr = '0' then cnt <= 0;
	 elsif clk'event and clk='1' then --clk为上升沿	
	     if (cnt = 9) then --当cnt加到9时,继续增加则清零
		      cnt <= 0;
		  else
		      cnt <= cnt + 1;
		  end if;
	 end if;
end process;
end behavior;
  • 5
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值