VHDL——8位奇偶校验电路

1.引脚图

a:长度为8的标准逻辑矢量位矢量;
z:输出校验位

2.VHDL语言
2.1 一般顺序语句

library ieee;
use ieee.std_logic_1164.all;

entity p_check is
    port(a : in std_logic_vector(7 downto 0);  --定义a为长度8的标准逻辑矢量位矢量
	     y : out std_logic);
end p_check;

architecture behave of p_check is
begin
  process(a)
    variable temp : std_logic;
  begin
    temp := '0';
	 temp := temp xor a(0); 
	 temp := temp xor a(1);
	 temp := temp xor a(2);
	 temp := temp xor a(3);
	 temp := temp xor a(4);
	 temp := temp xor a(5);
	 temp := temp xor a(6);
	 temp := temp xor a(7);
	 y <= temp;
  end process;
end behave;

2.2 for loop语句

architecture behave of p_check is
begin
  process(a)
    variable temp : std_logic;
  begin
    temp := '0';
	 for n in 0 to 7 loop   --循环7次,n为循环变量
	   temp := temp xor a(n);
	 end loop;
	 y <= temp;
  end process;
end behave;

2.3 while loop语句

architecture behave of p_check is
begin
  process(a)
    variable temp : std_logic;
	 variable n : integer;         --定义循环变量n为整数
  begin
    temp := '0';
	 n := 0;
	 while n<8 loop
	   temp := temp xor a(n);
		n := n+1;
	 end loop;
	 y <= temp;
  end process;
end behave;
  • 11
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值