在VScode中编写Verilog,Testbench指令无法生成相应代码——问题解决

作者在学习计算机组成原理时使用Verilog进行实验,尝试在VScode中通过iverilog插件自动生成testbench,但遇到命令行未正确输出的问题。经过分析vTbgenerator.py的源代码,发现是sys.argv[1]参数使用不当。通过将代码修改为writeTestBench(sys.argv[0]),成功解决了问题,实现了testbench的生成。
摘要由CSDN通过智能技术生成

        最近在学计算机组成原理,要用Verilog进行实验,但是Quartus的界面并不是很好看,后来了解到,在VScode上也可以写Verilog,并且可以自动生成testbench,于是开始了我的探索之路。

将iverilog和相应的VScode插件安装好,在命令行中输入testbench,执行命令,但是命令行中并没有生成testbench,而是出现下面的状况:

虽然显示generate testbench successfully,但是并没有正确的输出,在网上找了很多方法,都没有什么效果,最后决定——自力更生!!!

按住Ctrl键,点开命令行中所描述的文件 "c:\Users\10192\.vscode\extensions\truecrab.verilog-testbench-instance-0.0.5\out\vTbgenerator.py",在第150行并没有看出什么问题,直到我看到第224行中的代码(如下图)

writeTestBench(sys.argv[1])

 我凭借着探索精神,在网上找了一下sys.argv[1]是什么意思,这才知道sys.argv[0]接收的是文件名(如果运行文件和运行终端不在同一路径下会接收其的路径及文件名)

sys.argv[1] 接收的的在终端传入的第一个参数

sys.argv[1]接收的的在终端传入的第二个参数

具体可以参考:关于python的sys模块sys.argv[0]、sys.argv[1]、sys.argv[2]的探讨

于是我将第224行代码改成如下图所示的样子

writeTestBench(sys.argv[0])

再在命令行中输入testbench,执行命令,成功产生testbench。

 

  • 4
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

麦克斯韦猿

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值