自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

ONE_PUNCH

会写点小代码的机械工程师

  • 博客(27)
  • 资源 (2)
  • 收藏
  • 关注

原创 汇总篇

一、Python1.Python入门【Python入门】02—代码打包生成EXE文件的方法2.Python库【Python库】02—ftplib【Python库】04—glob文件查找【Python库】05—RE正则表达式二、GIT1.Git入门【Git入门】01-Git基础操作三、小代码1.Python脚本【小代码】01-去除verilog工程的m...

2018-08-15 00:51:10 690

原创 VSCode配置Verilog/SystemVerilog开发环境(五)实战技巧

这里写自定义目录标题VSCode配置Verilog/SystemVerilog开发环境(五)实战技巧1. 双向端口,快速插入字符VSCode配置Verilog/SystemVerilog开发环境(五)实战技巧Verilog/SystemVerilog开发,实战技巧。(最近有点忙,有空就写一个,持续更新中。。。)1. 双向端口,快速插入字符需要快速地在双向端口中插入字符。如:AXI-4接口,共34个端口一次性插入完成。先看效果按键:34gbA[i说明:将光标处理第一行,通过VSCO

2022-03-07 00:03:53 2135

原创 VSCode配置Verilog/SystemVerilog开发环境(四)常用操作

目录1.快速代码片段1.1.模板创建1.2.补全设置修改1.3. 快捷键修改2.编辑效率的提升本节将要实现的功能:快速代码片段编辑效率的提升待更新1.快速代码片段1.1.模板创建使用VSCODE自带的Snippets实现快速代码片段,对Verilog这种结构化比较强的语言来说,可以大幅提高代码编写效率。使用方法如下:1.在设置中,点击用户代码片段;2.新建全局代码片段文件中有示例说明,去掉注释,保存后,在任意文件中输入“log_test”,回车,即可生成快速的代码片段; "P

2021-08-15 22:25:18 4646 1

原创 FPGA版本管理(三)如何用GIT管理Vivado工程

文章目录1.创建VIVADO工程1.1工程目录结构确定1.2创建工程2.IP Core管理3.生成tcl脚本4.GIT提交5.重构版本GIT管理Vivado工程的流程及步骤:1.创建VIVADO工程1.1工程目录结构确定按以下工程目录结构创建工程。xxx_project -prj -src -xdc -ipcore -coe -ipcore -ipcore -tclTips:目录结构的确定,主要有两个目

2020-05-29 23:35:07 5201 4

原创 FPGA版本管理(二)Vivado自动版本号

相比于,上一篇FPGA版本管理(一)Quartus自动版本号所述,实现Vivado的自动版本号,会稍微麻烦一点点。对比与Quartus的实现,Vivado的实现,前两步基本一模一样的,区别主要在Step 3.Step 1.使用宏定义赋值版本号寄存器Step 2.制作TCL脚本Step 3.修改QSF文件下面介绍详细的步骤:Step 1. 使用宏定义赋值版本号寄存器使用宏定义的方式写RTL中的版本号。//版本号assign version = `VERSION;assign

2020-05-10 23:52:10 5732 16

原创 FPGA版本管理(一)Quartus自动版本号

FPGA开发,在实际工程应用中,会将每次编译的版本时间写在寄存器中,以便在上板测试时能够通过读寄存器的方式,获取版本号。传统的方式,我们会在每次综合前,手动去更改版本号和版本日期。下面介绍一种,Quartus每次综合时自动更改版本号和版本日期的方式。这个方法,在Intel FPGA的官网中有介绍:Quartus II Tcl实例Quartus II Tcl实例:自动版本号Quartus ...

2020-04-23 23:58:10 3679 2

原创 Gitlab离线安装

目录下载安装包安装修改配置启动服务在Ubuntu 16.04上安装Gitlab服务。下载安装包由于某些原因,不能直接联网安装,通过下载离线安装包的方式安装Gitlab。下载地址:https://packages.gitlab.com/gitlab/gitlab-ce/查看Linux版本信息,并选择对应Ubuntu的版本下载。lsb_release -a安装安装指令:sudo ...

2020-04-20 23:49:39 940

原创 AXI4 Lite协议使用笔记

目录1.1. 信号概述1.2. 信号详述1.2.1. 时钟和复位1.2.2. Read address & Read data Channel1.3. Write address /Write data /Write response ChannelXilinx的绝大多数IP Core的寄存器读写,都是使用的AXI4 Lite协议。另外,Xilinx的Zynq系列器件,PS读写PL的寄存...

2020-04-19 23:24:04 1886

原创 VSCode配置Verilog/SystemVerilog开发环境(三)插件配置

目录Lint检查vivado绑定VSCode定义跳转/定义悬浮显示本节将要实现的功能:Lint检查vivado绑定vscode定义跳转/定义悬浮显示Lint检查如第(二)节安装Verilog HDL/SystemVerilog插件,如插件欢迎页的说明,支持如下的Lint工具。以Vivado的xvlog为例:Step.1 安装好Vivado;Step.2 将xvlog路径设...

2020-04-16 00:11:50 24786 20

原创 Vivado .gitignore example file

在git上进行Vivado版本控制,需要的.gitignore文件示例。Xilinx ,AR# 61232-Vivado .gitignore example fileXilinx,UG1198-Vivado Design Suite Tutorial Revision Control SystemsXilinx,XAPP1165-Using Vivado Design Suite wit...

2020-04-12 14:52:00 1499

原创 VSCode配置Verilog/SystemVerilog环境(二)插件安装

目录1.1. VerilogHDL/SystemVerilog1.2. Code Alignment1.3. Bracket Pair Colorizer1.4. Tabout1.5. ICON1.6. TCL Language Support1.7. GBKtoUTF81.8. hexdump for VSCode1.9. keymap .etc1.10. GitlensVSCode中如何安装...

2020-04-09 23:08:53 31840 9

原创 VScode配置Verilog/SystemVerilog环境 (一)概述

为什么我要用VSCode,请查看我的另一篇Blog-FPGA之路——Verilog与编辑器的那些事儿在VSCode上将要实现的功能:语法高亮代码对齐括号的处理文件编码方式版本管理二进制文件系统文件图标快捷键操作自动例化自动补全Lint检查vivado绑定vscode自动跳转自动声明定义跳转需要用到的插件:Verilog HDL/SystemVerilo...

2020-04-09 23:00:58 10930 3

原创 【杂谈】FPGA之路——Verilog与编辑器的那些事儿

【杂谈】FPGA之路——Verilog与编辑器的那些事儿Verilog HDL,硬件描述语言的一种,以文本形式描述数字系统硬件的结构和行为的语言[1]。Verilog HDL和VHDL是世界上最流行的两种硬件描述语言。在硬件描述语言中是很流行,但在所有编程语言中,其长期在100名左右徘徊,在TIOBE 2019年2月的排行榜中甚至跌出了前100名,足见其有多小众。「 Verilog与Not...

2020-04-04 15:56:56 6428

原创 CSDN博客Markdown-图片缩小

在CSDN的Markdown编辑器中插入图片,得到以下的URL:![IMG](https://img-blog.csdnimg.cn/20200404124937184.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L05lb2NzdA==...

2020-04-04 13:08:15 454

原创 【杂记】20200404-知识结构化

20200404-杂记2020年清明节,重启Vnote,又到了自己觉得自己应该写的什么、纪录点什么的时候。从去年换工作后,一直未静下来学点东西、写点东西。记得,大学毕业刚工作的时候,部门迎新会上,部长提过的一点,我认为非常有用,但每每想起就感慨“知之非艰,行之惟艰”。部长提到的是,知识的结构化。时至今日,越发觉得构建自己的知识体系有多重要。但我的知识体系,我都不知道怎么形容了。先回忆一下大学吧...

2020-04-04 13:01:41 316

原创 【Markdown】02-Vnote的使用

文章目录1. Vnote使用说明1.1. PlantUML1.1.1. Vnote中PlantUML配置1.1.2. 时序图1.2. 图片管理1. Vnote使用说明2018-10-31在说Vnote之前不得不提到Markdown。从事软件工作的人,基本都很了解Markdown。毕竟Github默认就使用Markdwon来编写说明文档。但作为一名FPGA开发工程师,传说中的“硬件工程师中的软...

2018-10-31 23:36:14 5027 2

原创 【Excel】01-实用技巧汇总

例1:1.目标实现:比较32bit十六进制数,高16bit数和低16bit数是否相同,不同则标红。2.需要使用到的操作:(1)字符串截位(2)选中多行(3)将公式应用到多行(4)条件格式3.实现:Step1:截位Step2:将公式应用到指定行先选中指定行,在左上角即可操作,然后,Ctrl+D,即可。Step3:条件格式选中需要应用条件...

2018-10-07 17:39:03 407

原创 【PLC】01-使用PLC控制步进电机带威纶通触摸屏

使用三菱的PLC控制步进电机运动,同时,支持威纶通的触摸屏进行参数设置,以及手动模式。1.PLC选型PLC根据输出可以分为两种类型:继电器输出和晶体管输出。控制步进电机,产生脉冲,必须选用晶体管型。本示例中,选用三菱的 FX1S-20MT-001型号的PLC。触摸屏选用威纶通的TK6050IP。2.工程代码PLC使用GXWORKS2进行梯形图编程。触摸屏使用威纶通自家的...

2018-09-02 18:15:56 3948

原创 【小代码】01-去除verilog工程的make_debug的python脚本

参考:【Python库】05—RE正则表达式【Python库】04—glob文件查找import reimport glob#读取整个文件file = glob.iglob(r'.\src\*.v')for i in file: with open(i,'r', encoding='utf-8') as f1: all_text = f1.read...

2018-08-05 22:34:44 806

原创 【Python库】05—RE正则表达式

有些人面临一个问题时会想:“我知道,可以用正则表达式来解决这个问题。”于是,现在他们就有两个问题了。                                                                                                                                                   ...

2018-08-05 19:02:25 423

原创 【Python库】04—glob文件查找

1.Glob模块glob模块用来查找文件。1.1.glob.glob(pathname, *, recursive=False)文件夹示意:文件夹路径:C:\Users\Neo\Desktop\glob_test代码示例1:(绝对路径)import globfilelist = glob.glob(r'C:\Users\Neo\Desktop\simulate\s...

2018-08-03 01:12:28 419

原创 【Git入门】01-Git基础操作

目录1.安装1.1下载1.2初始配置2.基础操作2.1创建版本库2.2首次提交2.3查看状态2.4提交修改2.5显示记录2.6版本回退3.版本库3.1撤销工作区的修改3.2撤销暂存区的修改3.3删除版本库的文件4.远程版本库4.1克隆版本库5.管理分支5.1查看分支5.2创建分支5.3修改分支5.4合并分支5....

2018-07-29 22:19:25 495

原创 【Python库】02—ftplib

 ftplib是Python的内置库。该模块定义了class FTP。FTP类用于实现 文件传输协议(FTP)的客户端。1.Telnet类官方说明中,对Telnetlib库中Telnet类的介绍: class ftplib.FTP(host=”, user=”, passwd=”, acct=”, timeout=None, source_address=None) R...

2018-04-10 00:26:59 661

原创 测试

1.一级标题1.1二级标题1.1.1三级标题哈哈哈哈或或

2018-04-04 23:57:48 98

原创 【Python入门】02—代码打包生成EXE文件的方法

1.简介2.准备工作2.1 pywin32的安装2.2 pyinstaller安装3. 制作EXE可执行文件4.补充说明1.简介 将写好的Python代码封装成EXE可执行文件,其优缺点如下: - 优点: 1. 执行文件时,操作简单、便捷; 2. 在没有安装Python编译器的电脑上,依旧可以运行; - 缺点: 1. 灵活性较差,一旦封装无法更...

2018-04-04 23:36:20 391

原创 Markdown(1)—LaTex

  Markdown常使用 KaTeX 或者 MathJax 来渲染数学表达式。只需要书写一些简单的标记符,便可以得到相应的数学表达式。   KaTeX 拥有比 MathJax 更快的性能,但是它却少了很多 MathJax 拥有的特性。可以通过查看 KaTeX :supported functions/symbols来了解 KaTeX 支持那些符号和函数。 目录1. 默认分隔符...

2018-04-01 02:23:10 820

转载 CSDN-markdown编辑器说明

欢迎使用Markdown编辑器写博客本Markdown编辑器使用StackEdit修改而来,用它写博客,将会带来全新的体验哦:Markdown和扩展Markdown简洁的语法代码块高亮图片链接和图片上传LaTex数学公式UML序列图和流程图离线写博客导入导出Markdown文件丰富的快捷键快捷键加粗 Ctrl + B 斜体 Ctrl + I...

2018-03-31 00:47:43 128

xilinx vivado 2018.02激活用License文件下载

2037年之前的任何Vivado版本(包括HLS、AccelDSP、System Generator、软硬CPU、SOC、嵌入式Linux、重配置等等功能)都是永久使用。

2018-08-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除