VsCode编写Verilog,自动生成Testbench,生成Wave-20220329

目录

一、准备工作

        ①安装VScode(不是Visual Studio 2019/2017/2012):自行百度

        ②安装Iverilog与GTKWave波形查看器

        ③安装Vscode插件以及配置:

二、写测试平台testbench

注:iverilog需要python环境支持,出现iverilog报错,应该是python环境未配置,建议自行百度解决(我忘了在哪篇博客看到的了,┭┮﹏┭┮)


一、准备工作

        ①安装VScode(不是Visual Studio 2019/2017/2012):自行百度

        ②安装Iverilog与GTKWave波形查看器

                官网:Icarus Verilog for Windows

 

安装:

        1》点next

        2》 同时勾选安装MinGW依赖和GTKWave波形查看程序    

                3》 红色方框记得一定要勾选(添加路径)

                4》安装即可 

        ③安装Vscode插件以及配置:

        1》打开Vscode,左边第四个位安装插件,输入verilog进行查找,安装如下5个插件

        

        2》打开设置,进行Liner配置

        3》 配置电脑语言(防止vscode乱码)

        打开电脑设置

 点击时间与语言

        相关设置

再点击这个其他设置

 4》回到Vscode中测试一下,应该可以了

写好verilog代码,右上角运行,出现则正确

二、写测试平台testbench

运行完成后,Ctrl+Alt+P调用命令面板,输入Testbench

终端则会出现相应代码,复制即可

新建tb_test.v文件,将复制的代码进行粘贴修改

①文件引用:请保证vscode只打开一个文件夹并对.v文件进行引用

②如果没有rst_n信号则将这一段注释掉

③修改测试信号$dumpfile位生成.vcd波形文件,下面为自己的测试代码时序

④运行tb_test.v文件后,打开生成的.vcd波形文件,添加信号,则可以看到相关波形

注:iverilog需要python环境支持,出现iverilog报错,应该是python环境未配置,建议自行百度解决(我忘了在哪篇博客看到的了,┭┮﹏┭┮)

  • 13
    点赞
  • 126
    收藏
    觉得还不错? 一键收藏
  • 10
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值