if条件语句

if语句是用来判定所给定的条件是否满足,根据判定的结果(真或假)决定执行给出的两种操作之一。Verilog HDL语言提供了3种形式的if语句。

 

第一种:if(表达式)语句。

if(a>b)

        out1 = int 1;

第二种:if(表达式)。

if(表达式)

                语句1

        else

                语句2

例如:

if(a>b)

        out1 = int1;

else

        out1 = int2;

第三种:

if(表达式1)

        语句1;

else        if(表达式2)        语句2;

else        if(表达式3)        语句3{\color{Red} \displaystyle \begin{matrix} & \end{matrix}};

                        .

                        .

                        .

else        if(表达式m)        语句m;

else                                  语句n;

条件语句必须在过程块语句中使用。所谓过程块语句是指由initial和always语句引导的执行语句集合。除这两种块语句引导的begin end块中可以编写条件语句外,模块的其他地方都不能编写。

注:1.三种形式的if语句,if后面都有“表达式”,一般为逻辑表达式或关系表达式,系统对表达式的值进行判断,若为0,x,z,按“假”处理,若为1,按“真”处理,执行指定的语句。

后两种,即第2和第3种形式的if语句,在每个else前面有一个分号,整个语句结束时有一个分号。

例如:

always@(some_event)

        begin

        if(a>b)        out1 = int1;

        else                if(a==b)        out1 = int2;

        else                                     out1 = int3;

分号是Verilog HDL语句中不可缺少的部分,是if语句中嵌套语句所要求的。

如果没有分号,就会出现语法错误。else语句不能单独使用,必须搭配if语句,必须是if语句的一部分。

2.允许一定形式的表达式简写形式:

if(expression)         等同于        if(expression = = 1)

if(! expression)       等同于        if(expression ! = 1)

  • 3
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Shining0596

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值