FPGA片内RAM读写测试实验

RAM:随机存取存储器(英语:Random Access Memory,缩写:RAM)

有深度(如0-511,共512个存储单元)每个存储单元都有16/32位数据。

有clk,addr(地址),din(写入数据),EN(写使能);dout(输出)等引脚。

接下来新建一个vivado工程,进行ram的测试。在IP catalog里面搜索RAM,选择Block memory generator,赛灵思芯片自带的block ram。改名后选择Native,为普通引脚配置。(AXI4为总线配置)memory类型选择简单双口,在documentation product guide里面能查看memory类型(有单口,简单双口(B端口只能读),真双口(B端口可读可写)等类型):

每一个选项的设置:

 

 

 

 

时序图:

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值