Verilog学习笔记(9)Modelsim仿真_串口数据发送

1.状态机代码设计与仿真

1.1 串口数据发送

 1.TX为串口输出端口;

2.rdy为空闲标志,字节发送时rdy为高;

3.data_in为准备发送的字节;

4.en_data_in为字节发送使能端口,高使能;

5.发送波特率4800,系统时钟频率24MHz;

状态规划:

代码:

//串口发送模块

module UART_TXer(
                 clk,
                 res,
                 data_in,
                 en_data_in,
                 TX,
                 rdy
                 );

input            clk;
input            res;
i
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值