SpinalHDL之结构(八)

本文作为SpinalHDL学习笔记第六十八篇,介绍SpinalHDL的参数化(Parametrization)。

目录:

1.简介(Introduction)

2.细化阶段的参数(Elaboration time parameters)

3.可选择的硬件⽣成(Optional hardware)

⼀、简介(Introduction)

SpinalHDL的参数化概念涉及多个⽅⾯:
◆给设计提供细化阶段(elaboration time)的参数
◆可选择的硬件⽣成

⼆、细化阶段的参数(Elaboration time parameters)

你可以⽤整个Scala语⾔来提供细化时间时的参数。

下⾯是类参数的例⼦:

case class MyBus(width: Int) extends Bundle {
val mySignal = UInt(width bits)
}
case class MyComponent(width: Int) extends Component {
val bus = MyBus(width)
}

你也可以在scala对象中定义全局变量, 但是需要注意最近增加的ScopeProperty特点能提供更好的解决办法。

三、可选择的硬件⽣成(Optional hardware)

对于可选择的信号:

case class MyComponent(flag: Boolean) extends Component {
val mySignal = flag generate (Bool()) //等价于"if(flag) in Bool() else null"
}

你也可以在Bundle中做相同的事情。

如果你想⽆效⼀块硬件的⽣成:

case class MyComponent(flag: Boolean) extends Component {
val myHardware = flag generate new Area {
//可选择的电路
}
}

你也可以⽤scala循环:

case class MyComponent(amount: Int) extends Component {
val myHardware = for(i <- 0 until amount) yield new Area {
//可选择的电路
}
}

所以, 在细化期间你想怎么运⽤Scala就怎么运⽤, 包括始终整个Scala集合(List, Set, Map, ...)来搭建数据模型并把他们以程序的⽅式转化成硬件(例如对列表元素迭代)。
 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

千穹凌帝

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值