UVM中启动sequence的方法

主要有三种方法:

  1. 通过sequnce.start的方式启动
  2. 通过`uvm_do系列宏来启动
  3. 通过default sequence来启动

一、通过sequnce.start的方式启动

start方法是“uvm_seuquence_base”类中的一个task。

原型:

virtual task start (uvm_sequencer_base sequencer,

            uvm_sequence_base parent_sequence = null,

            int this_priority = -1,

            bit call_pre_post = 1);

其中:

sequencer: 如果被启动的sequence(调用start方法的sequence)中要产生transaction, 那么必须要通过第一个参数来指明这个transaction要发给那个sequencer。

parent_sequence : 指明start 方法中,需要调用那个sequence的pre_body() task和 post_body() task。

this_priority : 指明产生transaction的优先级,sequencer在某些条件下会根据优先级来将transaction发送给sequence。

call_pre_post :如果为1, 则调用 start 方法中的 pre_do() task, mid_do() func, post_do() func。这个三个do 方法是该sequence的方法。

意义:start方法是uvm中启动sequence最本质、最根本的方法。后面说到两种方法最终还是调用start方法来启动sequence。

二、通过`uvm_do系列宏来启动

  如果给`uvm_do传的第一个参数是sequence是,则最终调用sequence.start(SEQR, this, PRIORITY, 0)。

  其中SEQR, PRIROTY参数来自`uvm_do_on_pri_with(SEQ_QR_ITEM, SEQR, PRIORITY, CONSTRAINS)。

三、通过default sequence来启动

   是在test_case中的build_phase中,通过uvm_config_db#(uvm_object_wrapper)::set( pointer, "tree_path.phase_name", "default_sequence", sequence::type_id::get());

或者 uvm_config_db#(sequence_type)::set( pointer, "tree_path.phase_name", "default_sequence", sequence_pointer);

最终还是调用start来启动。

其中:

tree_path : 指向sequencer的uvm 树路径

phase_name: 必须是task phase。run_phase + 12 个task_phase.

  • 3
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值