uvm_sequence启动方法之start

uvm_sequence作为UVM的重要机制,在启动sequence时一种方法便是通过调用start任务来实现.
1.start任务
start任务的入口参数有4个:sequencer指定了xaction从哪个sqr发送出去,parent_sequence影响是否调用父类的pre_do、mid_do、post_do;this_priority会影响到调度的优先级;call_pre_post会影响是否调用子类的pre_body和post_body.
直接调用start任务后,会按照下图的顺序调用相应的函数或者任务.
在这里插入图片描述
在这里插入图片描述
2.构造sequence
构造reg_base_sequence,里面包含了pre_start、post_start、pre_body、body、post_body、pre_do、mid_do、post_do;构造reg_sequence,继承于reg_base_sequence,同样包含了pre_start、post_start、pre_body、body、post_body、pre_do、mid_do、post_do.
在这里插入图片描述
3.启动sequence不传递parent_sequence
因为parent_sequence为null,所以parent_sequence的pre_do、mid_do、post_do都不会被调用.
在这里插入图片描述4.启动sequence传递parent_sequence
因为parent_sequence不为null,所以parent_sequence的pre_do、mid_do、post_do都会被调用.
在这里插入图片描述
5.sub_sequence pre_do/mid_do/post_do的调用
从示例中可以看出,sub_sequence pre_do/mid_do/post_do定义了会一直调用,原因是什么呢?在sub_sequence的body中调用了uvm_do宏,在宏定义中会调用这几个函数.其宏定义如下:
在这里插入图片描述
从宏定义的说明中可以知道,使用uvm_do宏的类中会调用pre_do、mid_do、post_do.

  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值