数据类型之二值逻辑、四值逻辑 — Systemverilog

一.数据类型

1.二值和四值逻辑

二值逻辑:目的是模拟计算机验证环境,提高仿真性能,节约空间。若有四值逻辑数给其赋值,x,z会默认被赋值为0,因此二值逻辑数要远离DUT。

四值逻辑:目的是模拟外部物理世界。

类型位宽状态符号
bit10,1无符号/1 bit
byte80,1有符号
int320,1有符号
shortint160,1有符号
longint640,1有符号
logic10,1,X,Z无符号/1 bit
reg10,1,X,Z无符号/1 bit
wire10,1,X,Z无符号/1 bit
integer320,1,X,Z有符号

注:logic 默认是X,integer 默认也是X,wire 默认是Z,int 默认是0。

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小白icer

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值