数组的find方法与数组元素类型问题

        数组的find方法用于查找满足条件的第一个数组元素,是ES6的查找方法。查找到后返回的数据为其本身,若没有则返回undefined。

        在进行原生项目开发时需要大量的使用dom操作,过程中遇到表格功能制作难免会用到查找dom节点的操作。在一个项目中使用querySelectorAll查询到了所有的表格行tr,对其使用find方法却发现错误提示find方法不可用。

        经过一系列如下调试操作:

let a=[1,2,3,4,5];
let aa=a.find((item,index)=>{
	return item===3
})
console.log(aa)

let b=["1","2","3","4","5"];
let bb=b.find((item,index)=>{
	return item==="3"
})
console.log(bb)

let c=[{d:1},{d:2},{d:3}];
let cc=c.find((item,index)=>{
	return item.d===3;
})
console.log(cc)

let f=[[1,2],[3,4]];
let ff=f.find((item,index)=>{
	return item===[3,4];
})
console.log(ff)

let j=[true,false];
let jj=j.find((item,index)=>{
	return item===false;
})
console.log(jj)

let e=document.querySelectorAll("tr");
let ee=e.find((item,index)=>{
	return item.dataset.index="3"
})
console.log(ee)

        返回结果如下:

3
index.js:10 3
index.js:15 {d: 3}
index.js:21 undefined
index.js:27 false
index.js:30 Uncaught TypeError: e.find is not a function

         注:该操作仅调试是否能够运行find方法,21行的undefined是因为数组元素为数组,为引用数据类型初步比较地址不同导致查询不到。

         发现find方法要求数组元素需要是数值型、字符型或者引用数据类型等数据类型,而不能是dom节点,虽然dom节点可以作为数组元素,但不可以使用查找方法。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在 SystemVerilog 中,数组是一种非常有用的数据类型,可以存储和处理大量数据。以下是一些常用的 SystemVerilog 数组方法: 1. count():返回数组中与指定值相等的元素个数。 ``` int my_array[5] = {1, 2, 3, 2, 4}; $display("Number of 2's in the array: %d", my_array.count(2)); ``` 2. find():查找数组中第一个与指定值相等的元素的索引。如果未找到,则返回-1。 ``` int my_array[5] = {1, 2, 3, 2, 4}; $display("Index of the first occurrence of 2: %d", my_array.find(2)); ``` 3. find_first_index()和find_last_index():查找数组中第一个和最后一个与指定值相等的元素的索引,如果未找到,则返回-1。 ``` int my_array[5] = {1, 2, 3, 2, 4}; $display("Index of the first occurrence of 2: %d", my_array.find_first_index(2)); $display("Index of the last occurrence of 2: %d", my_array.find_last_index(2)); ``` 4. unique():返回一个新数组,其中包含原始数组中的所有唯一元素。如果原始数组中有重复元素,则只包含第一次出现的元素。 ``` int my_array[5] = {1, 2, 3, 2, 4}; int unique_array[$]; unique_array = my_array.unique(); $display("Unique elements in the array: %p", unique_array); ``` 5. sort():按升序对数组进行排序。 ``` int my_array[5] = {3, 1, 4, 2, 5}; my_array.sort(); $display("Sorted array: %p", my_array); ``` 6. reverse():将数组中的元素反转。 ``` int my_array[5] = {1, 2, 3, 4, 5}; my_array.reverse(); $display("Reversed array: %p", my_array); ``` 这些方法只是 SystemVerilog 数组的一部分,还有其他方法可用于数组的创建、初始化、操作和遍历。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值