VIVADO IP核之FIR低通滤波仿真(含滤波器群延时仿真)

VIVADO IP核之FIR低通滤波仿真(含滤波器群延时)

目录

前言

一、滤波器系数生成

二、VIVADO FIR滤波器使用

三、用MATLAB生成仿真数据

四、VIVADO FIR滤波器仿真

五、考虑群延时的VIVADO FIR滤波器仿真

六、VIVADO工程下载

总结


前言

        网络上有许多文章介绍FIR低通滤波器的使用,包括仿真。本文将继续深入介绍FIR低通滤波器IP核的使用方法,并在此基础之上去分析VIVADO FIR滤波器的群延时。通过与MATLAB仿真计算的结果比较,说明如何在VIVADO中用FIR滤波器时,考虑上滤波器的这个群延时特点。


提示:以下是本篇文章正文内容,欢迎各位阅读,转载请附上链接。

一、滤波器系数生成

        在MATLAB的命令行窗口输入filterDesigner,接着界面就会跳出滤波器设计工具的界面。如下图所示,我们选择低通,FIR滤波器,设置Fs为120000000Hz,Fpass为10000000Hz,Fstop为13000000Hz,Apass为1dB,Astop为50dB。

最后点击设计滤波器按钮就会出现下图设计好的滤波器的幅值响应。

        接下来量化滤波器系数,首先点击下图箭头所示的按钮,然后选择滤波器算法为定点,分子子长默认为16就能对滤波器的系数进行量化。然后点击目标——>XILINX系数(.coe)文件——>命名保存为LPF.coe。然后我们打开coe文件即可看到已经量化的滤波器系数。

二、VIVADO FIR滤波器使用

        在vivado中搜索FIR滤波器IP核并点进去设置它。

        首先IP核命名为FIR_LPF,选择COE File,导入我们刚才生成的coe文件,其他保持默认设置即可。

        然后将输入的采样频率和时钟频率均设置为120MHz,因为我们刚才设置滤波器时采样频率微为120000000Hz,其他保持默认设置。

        然后coe系数类型选择signed,宽度为16,输入数据类型也为signed,位宽为16,小数位数为13,其他保持默认设置,然后点击左边的Freq.Response就能看见滤波器的幅值响应。

到此就可以了,生成IP核即可,其他均不用设置了。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

迎风打盹儿

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值