sv中的深复制和浅复制

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言


一、浅复制

我们知道采用sv中的new()函数就可以实现对象的创建,同样的对于对象的复制可以利用new来实现。

如:class zhangsan;

                bit [3:0] data, addr;

        endclass

        zhangshan A, B; //声明两个句柄

        initial begin

                A = new; //创建一个对象

                B = new  A; //将A对象 复制一份给B对象

        end

那如果对于张三这个类当中还有其他类的句柄时,此时采用复制函数new只能复制高一级的对象内容,对于低一级的对象不能被复制。

如果浅复制了含有类句柄的类,则当更改类句柄类中对象的值之后,在高一级类当中也是可以看的到的,相当于两个高一级的类对象共享一个低一级对象。

二、深复制

1.编写自己的copy函数

由于浅复制复制的完备性较差,不能很好赋值低一级类当中的内容,因而这里引出了深复制。

class lisi;
        bit [3:0] addr, data;
        zhangsan  A;
        static int count = 0;
         int id = 0;
        function new
                A = new;
                id = count ++;
        endfunction

        function lisi copy;//定义一个类方法
                copy = new();
                copy.addr = addr; //类方法中的变量赋值为当前类中的变量
        endfunction
        function lisi copy;
                copy = new();
                copy.addr = addr;
                copy.data = data;
                copy.A = A.copy();
                id = count ++;
        endfunction
endclass

class zhangsan;
        int C,D;
        function zhangsan copy();
                copy = new();
                copy.A = A;
                copy.B = B;
        endfunction
endclass

总结

 通过在类中定义一个类copy函数,当调用此函数时,由于本身含有实例化,及复制的作用,可以很有效地完成深度复制的工作。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
拷贝是指当一个对象被复制到另一个对象时,它们共享同一块内存空间。换句话说,新对象只是原对象的一个引用,对其一个对象的修改会影响到另一个对象。例如,拷贝,如果对p2的a1句柄做操作,将会影响p1的a1。 拷贝是指当一个对象被复制到另一个对象时,会为新对象分配一块新的内存空间,并将原对象的所有成员变量(包括数据变量、数据操作和其他句柄)都复制过来。换句话说,拷贝创建了一个完独立的对象,对其一个对象的修改不会影响到另一个对象。例如,拷贝,每个对象拷贝都会分配新的内存空间,可以将拷贝对象所包含的对象拷贝过来,自定义copy函数,copy调用了new函数。 在SystemVerilog拷贝和拷贝的概念可以用于复制类对象或结构体对象。拷贝通常需要自定义copy函数,以确保所有成员变量都被正确复制拷贝则是通过简单地复制句柄来实现。具体使用哪种拷贝方式取决于需要的功能和内存管理需求。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [SV拷贝和拷贝](https://blog.csdn.net/sinat_41774721/article/details/124485379)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [sv复制复制](https://blog.csdn.net/m0_66430481/article/details/125351578)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值