SV中的浅拷贝和深拷贝

1.浅拷贝

浅拷贝: 只拷贝对象中的数据变量,而对于对象中的数据操作(一般为任务和函数)和其中定义的其他类的句柄,采用类似“引用”的方式,浅拷贝前后共用同一内存空间。
可以使用new操作符进行复制。如果一个类包含指向另一个类的句柄,只有最高级的对象被new操作符复制,下一层的对象都不会被复制

class Transaction;
      bit [31:0] addr,crc,data[8];
      statistics stats;
      static int count=0;
      int id;

      function new;
           stats=new();
           id=count++;
      endfunction
endclass      
      
Transaction src,dst;
     initial begin
     src=new();
     src.stats.startT=42;
     dst=new src;
     dst.stats.startT=96;
     display(src.stats.startT);

可以看到,具有相同的id地址,采用类似“引用”的方式,浅拷贝前后共用同一内存空间。
在这里插入图片描述在这里插入图片描述
对p2中的a1句柄做操作后将会影响p1中的a1
在这里插入图片描述

2.深拷贝

深拷贝: 对象拷贝,对对象中的所有成员变量(包括数据变量、数据操作和其他句柄)统一分配新的内存空间。
可将拷贝对象中所包含的对象拷贝过来,自定义copy函数copy调用了new函数

class Transaction;
      bit [31:0] addr,crc,data[8];
      statistics stats;
      static int count=0;
      int id;

      function new;
           stats=new();
           id=count++;
      endfunction

      function Transaction copy;
          copy=new();
          copy.addr=addr;
          copy.data=data;
          copy.crc=crc;
          copy.stats=stats.copy();
          id=count++;
      endfunction
endclass 

Transaction src,dst;
   initial begin
     src=new();
     src.stats.startT=42;
     dst=src.copy();
     dst.stats.startT=96;
     $display(src.stats.startT);
   end

在这里插入图片描述
对p2中的a2句柄做操作后将会不会影响p1中的a1

在这里插入图片描述
参考:
https://blog.csdn.net/weixin_42162448/article/details/121972033
systemverilog验证测试平台编写指南

  • 5
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
浅拷贝是指当一个对象被复制到另一个对象时,它们共享同一块内存空间。换句话说,新对象只是原对象的一个引用,对其一个对象的修改会影响到另一个对象。例如,浅拷贝,如果对p2的a1句柄做操作,将会影响p1的a1。 深拷贝是指当一个对象被复制到另一个对象时,会为新对象分配一块新的内存空间,并将原对象的所有成员变量(包括数据变量、数据操作和其他句柄)都复制过来。换句话说,深拷贝创建了一个完全独立的对象,对其一个对象的修改不会影响到另一个对象。例如,深拷贝,每个对象拷贝都会分配新的内存空间,可以将拷贝对象所包含的对象拷贝过来,自定义copy函数,copy调用了new函数。 在SystemVerilog深拷贝浅拷贝的概念可以用于复制类对象或结构体对象。深拷贝通常需要自定义copy函数,以确保所有成员变量都被正确复制。浅拷贝则是通过简单地复制句柄来实现。具体使用哪种拷贝方式取决于需要的功能和内存管理需求。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [SV浅拷贝深拷贝](https://blog.csdn.net/sinat_41774721/article/details/124485379)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [sv的深复制和浅复制](https://blog.csdn.net/m0_66430481/article/details/125351578)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值