关于uvm config_db中null pointer dereference问题

空指针引用错误问题,只需要理清各个指针之间的关系即可,首先我的TB包含关系为,test包含env包含agent和agent_cfg,在test中type_id了m_agent_cfg,并把top的interface通过m_agent_cfg传给了driver中,但是在agent中引用

//class agent...
if(m_agent_cfg.active == UVM_ACTIVE)begin//空指针在此报错
...
end

可以发现是由m_agent_config指针为空,因此顺藤摸瓜,找到m_agent_config是从哪里传进来的,

uvm_config_db#(agent_config)::get(this,"","agent_config",agent_config);//此处找寻
//发现在env中set
uvm_config_db#(agent_config)::set(this,"m_agent","agent_config",env_config.agent_config);
//有的但是为什么报空指针

因为前文中提到,在test中type_id了m_agent_cfg,但是env中并没有new,而你agent中的agent_config是从env中来,因此,只需要在test中,把agent_config赋值给env中的agent_config即可:

//在test_base.sv中
m_env_cfg.m_agent_cfg=m_agent_cfg

总结:指针指向问题,回溯即可

  • 7
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值