解决UVM卡在driver的get_next_item问题

在main的task中我调用了如下函数:

task iic_drievr::main_phase(uvm_phase phase);
    super.run_phase(phase);
    fork
        rst(); 
        run();//驱动的时序
    join
endtask

其中run函数为;

task run();
    forever begin
        seq_item_port.get_next_item(req);\\此语句之前能运行到,之后不可运行,hang在此处
        ....
    end
endtask

只需要把main_phase改为run_phase即可解决问题!!!

  • 7
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值