ModelSim的使用

1、打开ModelSim软件

    打开ModelSim软件:

1、建立工程

在modelsim中建立project,选择File->New->Project,如下图所示:

跳出以下弹窗:

在“ Project Name”栏中填写工程名,这里的命名方式,,我们看到这个工程名,就能够知道它是用来做什么的了。这里我们把工程命名为“ decoder”,其中“ Project Location”是工程路径,可以根据需要把工程保存到不同的位置。如我选择在软件安装的新建了一个文件夹,也可以选择默认位置。下面这两部分是用来设置仿真库名称和路径的,这里我们使用默认即可。设置好工程名、工程位置,我们点击【 OK】按钮,弹出如下图所示界面:

我们可以从该图的选择窗口中看出,它共有四种操作:

Create New File(创建新文件)、Add Existing File(添加已有文件)、

Create Simulation(创建仿真)和 Create New Folder(创建新文件夹)。

这里我们先选择“ Create New  File”(添加已有文件),如下图所示:

默认位VHDL,我们创建的是verilog,选择verilog。
Folder:默认。

此时可以看到,两个文件“ decoder.v”和“ decoder_tb.v” 添加至我们的ModelSim仿真工程中,如下图所示:

双击后进行代码写入:

2、写入代码

编译完成后结果如下图:

Compile->Compile All

文件编译后“ Status”列可能会有三个不同状态。除了以上的“√”表示的通过状态外,还有两个可能出现的状态:如编译错误(显示红色的“×”)及包含警告的编译通过(有一个黄色的三角符号)。编译错误即Modelsim无法完成文件的编译工作。通常是由于代码中存在语法错误,如需解决问题则在左下角的”Transcrpt“中的红色显示"error"进行双击寻找具体错误

接下来我们在Simulate标签页面中选择Start Simulation ,如下图所示。

点击Library中的deconder_tb的Simulate

出现下图并添加输入信号:

将信号全部改为二进制

点击此处:Run-All 

其中的仿真时间调为100ns

运行后的结果如下图所示:

  • 6
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
ModelSim是一种常用的硬件描述语言仿真工具,它支持Verilog和VHDL两种硬件描述语言。以下是使用ModelSim的基本步骤: 1. 安装ModelSim软件:从Mentor Graphics官方网站下载并安装ModelSim软件。 2. 创建工程:打开ModelSim软件,选择File -> New -> Project来创建一个新的工程。在工程目录中,可以添加需要仿真的Verilog或VHDL文件。 3. 设置仿真参数:在工程目录中,选择Project -> Project Settings来设置仿真参数,包括时钟周期、仿真时间等。 4. 编译设计文件:在工程目录中,选择Compile -> Compile All来编译所有的设计文件。编译成功后,会生成对应的仿真库文件。 5. 进行波形仿真:在工程目录中,选择Simulate -> Start Simulation来启动波形仿真。可以在仿真界面中观察信号的变化,并进行调试和验证。 6. 添加测试文件:在仿真界面中,选择File -> Add/Edit Files来添加测试文件,用于对设计进行功能验证。 7. 运行仿真:在仿真界面中,选择Simulate -> Run来运行仿真。可以观察测试文件中的输入信号和设计输出信号的波形变化。 8. 分析仿真结果:在仿真界面中,可以使用波形窗口、信号列表等工具来分析仿真结果,查看信号的波形、时序等信息。 这些是ModelSim的基本使用步骤,你可以根据具体需求和设计进行相应的设置和操作。希望对你有帮助!如果你有其他问题,请继续提问。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值